Leti Activity Report > 2012

Transcription

Leti Activity Report > 2012
Leti Activity Report > 2012
1
3
sommaire
page 6
Awards
page 10
Startups of the year
page 14
Highlights
laborations
benefits of long-term col
bioMérieux and CEA: the
Lens-free imaging breakt
rld of microscopy
hrough could open new wo
r-imaging systems
health Improving resolution and performance in nuclea
Clinatec
future of IR detectors
i and Sofradir shaping the
optics & photonics Let
issions
ucing ICT industry CO2 em
project revolves around red
TH
EAR
s
ion
es
telecommunicat
advanced technology nod
trating FD-SOI’s value for
Frisbee Project Demons
the Internet of Things
A wireless network for
hnology infrastructure
conception
wireless: Leti creates a tec
Commercializing 60GHz
intelligent, efficient
work makes lighting more
sor
sen
y
nar
ipli
isc
erd
int
Leti’s
processor
tion line, record-setting
ones in 2012 with ST produc
est
mil
new
s
che
rea
m
Leti FD-SOI progra
tion of MEMS materials
s
ent
pon
model enables new genera
com
ent
pm
silicon
elo
dev
erm
g-t
lon
Leti’s
ch
nt for independent resear
stigious ERC Starting Gra
Leti researcher wins pre
narization/CMP conference
technologists at ICPT pla
bal
glo
e
elit
+
logies
ies
200
log
ts
hno
hos
Leti
silicon tec
and communication techno
solutions for information
ics
ton
pho
con
sili
and
3D integration
Nanoelec IRT pursuing
page 46
New common laboratories
page 58 Partners’ perspectives
page 64
International partnerships: innovating together
page 68
Leti’s events
page 72
Leti’s offer
page 82
More than 40 years of history
page 84
General Organization
Leti’s offer
72
73
Leti Activity Report > 2012
Pierre-Damien Berger
[email protected]
Ways of working with Leti
With a specialized interest in smart devices, Leti
is primarily focused on
micro- and nanotechnologies; design, development and integration of
microsystems; imaging;
and microelectronics for
biology & health, communication technologies
and nomad objects.
Leti’s offer
budget
~35M€
from contracts
CapEx
Over 2,200
patents
286
40%
under license
generated in 2012
Cover: ©CEA-Leti, fotolia ©Tijana,
photoalto and CEA/P. Avavian
(showroom)
• Bilateral agreements last up
to two years and are guided by
precise objectives with a performance schedule and milestones
to be met, including technology
transfer and IP valorization. The
development team primarily includes Leti researchers and may
involve our partners’ staffs.
• Common labs are a unique
contract frame, ideal for managing
a set of projects with maximum
response to our partners’ industrial strategy.
Set for a minimum of three years,
they include technology transfer
and peer IP management.
The labs also involve teams from
Leti and our partners, which often
work on both Leti and industrial
sites.
250M€
>75%
Leti offers four types of joint development projects ranging from
several months to several years:
• R&D projects in a consortium
enable industrial partners to pool
their research costs and benefit
from significant subsidies, often
for long-term issues.
50
1,700
post PhDs
researchers +36
162 PhD students
• Technology transfer: Leti
teams provide our partners with
all the required process documentation. We also train their
employees at our facilities prior to
bringing support and assistance
to their manufacturing site for
process-flow introduction.
• Pepite: the PEPITE platform
gives small and mid-sized enterprises (SMEs) access to mature
Leti-developed electronic technologies, in focused short-term (6-12
month) projects that include planning and development support.
• Creativity offer: serving
industry – from SMEs to major
companies – in first-time collaborations, the Leti Creativity and
Innovation unit offers expertise
and tools that enable industrial
companies to transform their
challenges into economically
viable technology solutions.
It includes technologists and sociologists who apply the methods
and tools of their disciplines for
creative results.
365
industrial
startups partners
& spin-offs
common laboratories
with 38% 50 with industrial partners
foreign students
Leti Activity Report > 2012
2
5
Leti Activity Report > 2012
4
©
CEA-Leti /L. Godart
édito
Laurent Malier,
Director of Leti,
Carnot Institute,
MINATEC Campus
Ambition in research and commitment to industry. Again in 2012, these were
the lighthouses of our actions.
Our ambitions are revealed through a large number of compelling projects and results
from Leti teams. They apply to many sectors we are exploring. In microelectronics,
where growing demands for lower cost and better performance are harder and harder to
meet, Leti demonstrated the relevance of a new technology (called FD-SOI) that offers
a 40 percent gain in power consumption and a 30 percent frequency improvement, at
lower costs. Transferred to manufacturing, it delivered the first application processor
product dedicated to smartphones exceeding 3 GHz; this technology is now widely
open to designers and on track to become a new standard in the industry. On the other
side of our large research portfolio, we opened an experimental clinic with Grenoble
University Hospital. This initiative, called CLINATEC, allows physicians to explore
innovative protocols for diagnosis or therapy, based on new medical devices. Targeted
priorities are cancer, neurodegenerative diseases, and disabilities.
Our commitment to industry applies to all types of companies. In 2012, Leti
particularly expanded its actions for SMEs, whose need for innovation is strong in France
and Europe. With a specific initiative allowing SMEs to benefit from the expertise of our
researchers and engineers and to access our state-of-the-art equipment, Leti worked
on the integration of innovative devices, systems, and components, towards various
applications in the transport, energy, environment sectors, etc., as exemplified in this
report. Our partnerships were strengthened, even beyond Europe, with the extension of
our cooperation with IBM and new partners in Japan.
Leti demonstrated
the relevance of
a new technology
that offers a 40 percent
gain in power
consumption and
a 30 percent frequency
improvement,
at lower costs.
Ambition and commitment, mixed with entrepreneurship, are perfect ingredients for
the creation and development of startups. Leti has a strong track record in starting
companies, and we have continuously improved it over the years. 2012 was a year
of celebration of strong successes among these startups, with Sofradir (25 years),
Soitec (20 years), Tronics (15 years), ULIS (10 years), MicroOLED and Movea (5 years), as
well as an intense year of brand new companies. Together with partners in Grenoble,
we developed new initiatives for detecting, nurturing, and supporting projects and
entrepreneurs. More and more, Leti and Grenoble are a place to be for startups.
As Europe is looking toward the 2020 horizon, with a feeling of being challenged by Asia
and the United States, innovation becomes more vital to the economy, and research
and technology organisations are strong assets for Europe to leverage. Ambition and
commitment must be principles of action at the European scale, and Leti will continue
to strongly contribute.
This activity report shows the concrete actions and projects that came within this
scope of priorities. Discover and enjoy them…
EU nanomed platform
Leti Activity Report > 2012
awards
7
Patrick Boisseau, head of Leti’s nanomedicine program, was elected chairman of the European Technology Platform on Nanomedicine, a joint initiative between industry, academia, clinicians,
and the European Commission to help build a profitable nanomed
sector in Europe. Boisseau has chaired the ETPN working group on
nano-diagnostics since the ETPN was formed in 2005.
Patrick Boisseau
Roberval Prize for nanotech book
Patrick Boisseau received the “Grand Prix Special du Jury - Trophée Roberval,” as one of three coordinators of the book “Les
Nanosciences: Part 3, Nanobiotechnologies et nanobiologie.” The
“Prix Roberval” is sponsored by Université Technologique de Compiègne to encourage educational books on technology. The prize,
awarded only twice in 25 years, recognizes the work of the three
coordinators and all the writers, which included CEA personnel.
Award from
Roberval Prize
U.S. National Science Foundation
Pascal Szacherski won the U.S. National Science Foundation Travel Award at the 2012 IEEE Workshop on Genomic Signal Processing and Statistics (GENSIPS) for his paper on robust classification of proteomic serum
samples that are used in disease diagnosis. Using simulated data, the research showed, among other things,
that inverting a mathematical description of a data model helps control the impact of physical variability,
produces more accurate estimates of the parameter of interest — a clinical state in serum sample classification, for instance — and leads to better results. This tendency has been confirmed in clinical data from
the ANR project “BHI-PRO”.
Pascal Szacherski
health
telecommuni
LAPC
Vincent Berg
TV
white space
Ramona Rosini
Paper on
honored
A Leti, British Telecom and
Telenor Group team won the
Best Paper Award at the
Wireless Innovation Forum in
Brussels for its paper, “Mapping cognitive radio system
scenarios into the TVWS
context”. The presentation
analysed several scenarios
for TVWS wireless communication, through several
criteria including capacity,
throughput, and business
models. These scenarios
are rural broadband, cellular extension in the white
space, and cognitive ad hoc
networks. Leti authors were
Vincent Berg and Dominique
Noguet.
Dominique Vicard
OSEO financial award for Primo1D
OSEO, the French organization committed to supporting entrepreneurship, gave its Emergence Award to Dominique Vicard
for his proposed startup company, Primo1D. The €40,000 award
helped finance technical and legal advice for the company’s
envisioned products, and paid for a market study. Vicard proposed a solution for embedding electronic functions in textile
yarns using the E-Thread® technology developed and patented
by CEA-Leti.
A presentation by Ramona Rosini was
chosen as Best Student Paper at the
Loughborough Antennas & Propagation Conference, the largest annual UK
conference dedicated to the field of
antennas and propagation. The paper,
“Comparing on-body dynamic channels
for two antenna designs,” showed the
characterization and modelling of electromagnetic waves propagation around
the body. The dynamic on-body radio
channel characteristics were modelled by considering the impact of the
antenna radiation properties.
Young Scientist Award from
Antonio Clemente
cations
Best Student Paper at
in Britain
Dominique Noguet
fotolia ©iceteaimages
6
IEEE
The IEEE France chapter honored Antonio Clemente with the Young
Scientist Award at the 2012 ANTEM Symposium, an international conference on all aspects of antennas, electromagnetics and radio-frequency
systems. His paper presented very promising results in the demonstration of a 20×20 elements electronically reconfigurable transmit array
at X-band frequencies, a technology that is gaining increasing interest
from industry.
IEEE Young Investigator Award
Leti Ph.D. student’s thesis cited
FD-SOI
technology
Best paper,
Pierre-Emmanuel Gaillardon received the Best Thesis Award from
Centrale Innovation for his Ph.D. work on new programmablelogic architectures and circuits that take advantage of emerging technologies like resistive memories and monolithic 3D integration. His thesis demonstrated that the proposed innovations will allow more
efficient programmable circuits like FPGAs on a smaller
silicon area.
Olivier Thomas was a recipient of the
Best Paper Award at IEEE’s 38th International SOI Conference. The paper,
written in collaboration with Berkeley
Wireless Research Center and STMicroelectronics, proposed a new SRAM
bitcell architecture in ultra-thin body
& box FD-SOI technology. The proposed
bitcell reduces the minimum usable
supply voltage with no area penalty
and design complexity. The single pwell
architecture simplifies the process and
eliminates well-proximity-effect
variability.
Infrared sensor paper
at VLSI Symposia
design
Oliver Faynot, Claire Fenouillet-Beranger,
Stéphane Monfray and Frédéric Bœuf
ts
silicon componen
silic
Gérard Destefanis
Gérard Destefanis’s career accomplishments in infrared detector technology
research were recognized by the French
Ministry of Education with the Knight
of the Order of Academic Palms award.
His 35-year career includes writing or
co-writing more than 140 papers on
IR detectors and Leti’s 1986 launch of
Sofradir, which is a leading global supplier of infrared detectors and equipment for a wide range of industries.
Best Paper at
gies
o
l
o
chn
e
t
on
David Cooper
Starting Grant from
SPIE Photonics
Alexandros Emboras received the Best Student Paper Award for his presentation at
SPIE Photonics Europe 2012. His work focused on the realization of advanced electro-optical modulators for silicon photonics. These structures utilize ultra-compact optical confinement
properties of plasmon modes in order to reduce their energy consumption. This new type of device
could be used in future inter-chip or intra-chip optical links.
Academic
Palms
Leti and ST researchers received the Général Ferrié Grand Prize Award, the highest honor for
electronics R&D in France, for their work quantifying the improvement that FD-SOI brings to
conventional transistor performance and validating the technological choice to develop and
industrialize it. Team members were Claire Fenouillet-Béranger and Olivier Faynot from Leti, and
Stéphane Monfray and Frédéric Bœuf from ST.
Pierre-Emmanuel Gaillardon
II-VI materials conference
The 2012 U.S. Workshop on the Physics and Chemistry of II-VI Materials (an international conference) named Alexandre Gaucher winner of the Best Student Paper for his work on “Characterization of plasma etching process damages in HgCdTe.” For this award he was in competition
with 20 students from the best universities in the U.S. and other countries. His paper showed
the influence of etching on the physico-chemical and electrical properties of HgCdTe, which
is fundamental to the progress of infrared detector technology, particularly for production of
next-generation components at Sofradir.
Alexandre Gaucher
d
n
a
s
optic
ic s
n
o
t
pho
ERC
David Cooper has won a European Research Commission (ERC) Starting
Grant of 1.5 million euros for his project, Holoview, which aims to detect single dopant atoms in semiconductor devices using a technique
known as off-axis electron holography. These prestigious grant awards
are designed to give young researchers time to develop their ideas. The
ERC grant will be used to buy equipment and to hire the Ph.D. students
and post-docs who are needed to support the work on a high-risk, highgain project over five years. (See Highlights, pages 40-41)
Alexandros Emboras
Best Student Paper,
David Mercier
Général Ferrié Grand Prize for FD-SOI work
A Leti team presented a paper on a new readout
circuit architecture used for infrared sensors
at the 2012 VLSI Symposia, the international
conference dedicated to circuit design and
technology. The paper, entitled “An 88dB SNR,
30μm pixel pitch infrared image sensor with
a 2-step 16 bit A/D conversion,” described an
architecture based on a new pixel, with a fully
digital output. Each pixel includes an analog-todigital converter with memories and can store a
photonic signal equivalent to 3 billion electrons,
a 30x improvement over current capabilities.
The technology, which has been transferred
to Leti partner Sofradir, allows sensor accuracy of 2 millikelvins compared to 10-to-15mK
previously.
Olivier Thomas
Knight of the Order
of
David Mercier received the Paul & Dee-Dee Slade Young Investigator Award at the IEEE Holm Conference on Electrical
Contacts for his paper on quantitative analysis of electricalcontact resistance evolution between aluminum thin films.
The research helps improve the formation of electrical microcontacts in 3D chip-stacking technologies, for example, and
offers new perspectives for improving aluminum electrical
connections.
9
Giada Ghezzi
Best poster,
E\PCOS
2012
Giada Ghezzi received the Best
Poster Award at the European Symposium on Phase
Change and Ovonic Science
for her presentation on a study of nano-sized clusters of
phase-change materials. The
joint research project confirmed that nanoparticles can
switch from the amorphous to
the crystalline fcc phase, and
showed how the process used
in the research can enhance
memory fabrication.
Florent Dettoni
Best Student Paper at
CMP meeting
Florent Dettoni received the
Best Student Paper Award
at the International Conference on Planarization/CMP
Technology. His Leti and STM
project investigated the
measurement capabilities of
interferometric microscopy
post-CMP processes at die
level, a metrology not yet
available in the semiconductor industry.
Best Student Paper at
ECS conference
Floriane Baudin
Floriane Baudin received the Best
Student Paper Award at the 222nd
Electrochemical Society’s meeting,
Semiconductor Wafer Bonding 12:
Science, Technology, and Applications.
The conference paper, “Evaluation of
Titanium Direct Bonding Mechanism”,
focused on the bonding mechanism
and its limits of titanium layers deposited onto silicon wafers.
Leti Activity Report > 2012
8
Startups of the year
startups of
the year
11
Sensors
Software in motion,
Movea on the move
Movea, whose motion-processing technology powers
applications for a variety of
growing markets, had a very
productive year in 2012. Developments in its key markets
include:
Sports and fitness:
• A motion-sensing-enabled
tennis racquet developed
with Babolat that helps analyze player performance was
demonstrated at the French
Open.
• Geonaute, a brand of Oxylane, a leading global sports
company, chose SmartMotion
technology for ONdaily, its
next-gen pedometer.
Entertainment:
• The SmartMotion developer
kit for interactive TVs allows
app developers, middleware
vendors and service providers
to differentiate their offerings through motion-based
features that dramatically
enhance user experience.
• A collaboration with Orange
will result in the first large-
scale commercial deployment
of a gesture-control set-top
box.
Mobile:
• MotionCore™, the industry’s
first motion-processing IP
cores designed for mobile
devices, was integrated onto
Freescale’s 12-Axis Xtrinsic
Sensor Platform.
Movea’s 2012 developments
in MotionCore won an innovation award for embedded
technologies at CES 2013, and
Gartner named Movea one
of four “Cool Vendors” in its
“Cool Vendors in Touch and
Gesture Control 2012” report.
Launched by Leti in 2007,
Movea still collaborates with
CEA-Leti through the “MotionLab” joint venture aimed
at developing advanced expertise in human motion signal processing. Movea, which
holds more than 480 patents,
also raised 6.5 million euros
from Intel Capital, iSource and
GIMV in 2012.
Ondaily.
Decathlon
©
New set-top box from Orange.
Orange
©
Play & Connect racquet.
©
www.movea.com
Babolat
Sebastien Dauve
[email protected]
Yanis Caritu
[email protected]
Leti Activity Report > 2012
10
Startups of the year
13
Silicon components
Security
Advancing
X-ray
detection
for security and
non-destructive
testing
Launched in 2010, MultiX S.A. is
a French startup that designs
and produces advanced X-ray
spectrometric detectors for a
variety of security applications.
Its technology allows airport
scanners to provide real-time
identification/discrimination
of liquids and solid explosives
or narcotics in baggage, dramatically reducing false-alarm
rates and providing greater convenience for passengers, who
will be allowed to keep liquids
in their carry-on luggage. The
technology also can be used
for food inspection and nondestructive quality control
testing in manufacturing.
In 2012, MultiX finalized the
production version of its ME100
detector, and signed agreements with several X-ray scanning OEMs to integrate it into
their equipment. The company
was awarded one patent, and it
also raised €4 million in venture
backing.
In the common lab with MultiX,
Leti’s know-how in materials
identification and information
processing related to multi-energy X-ray data analysis
optimized the sensor’s performance.
Silicon components
Adjustable
lenses for
smartphone
cameras
Based on the MINATEC campus, Wavelens was founded
in 2012 to develop adjustablefocus and zoom lenses integrated on silicon for improving camera image quality in
smartphones. The company’s
optical MEMS are made of an
optical membrane released
onto an oil-filled cavity. MEMS
actuators are embedded at
the membrane periphery.
When the MEMS are actuated,
the optical oil flows through
the membrane center modifying membrane curvature
and inducing focal-length
variation.
Wavelens’ patented optical
MEMS are fully compatible
with classical MEMS actuation technology. The optical
oil allows operation at very low
voltage, typically less than
10V, while power efficiency is
very high. Wafer-level, lowcost MEMS manufacturing, a
cost effective driving solution (low actuation voltage)
and an easy integration into
smartphone camera modules
make the whole system highly cost competitive.
MultiX
Wavelens at a glance
www.multixdetection.com/
Common lab
boosts
APIX launch
Analytical Pixels Technology
(APIX), which manufactures
gas chromatography products, is off to a fast start.
Launched late in 2011, the
company introduced its first
commercial product in early
2013.
In its common lab with Leti,
Leti provides the silicon
technologies and processes
to manufacture APIX’s NEMS
detectors and gas-chromatography columns. The common lab’s milestones in 2012
include:
Jacques Doremus
jacques.doremus@
multixdetection.com
Application fields: telecommunications, security, industry,
and medical
Awards:
> «4i Jean-Michel Lamure» trophy for the most innovative company (Forum 4i investors forum)
> Optics & Photonics Innovation prize (Rhône-Alpes)
> 1st prize in OSEO’s national innovative-startup competition
Sebastien Bolis
[email protected]
• Collective manufacturing
of packaged NEMS array
modules on 200mm wafers
with electrical yield above 95
percent
• Collective fabrication of
MEMS-based Si gas-chromatography columns with different stationary phases
• Development of MEMSbased piezoelectric valves
on 200mm wafers, leading to
promising architectures for
silicon-based micro-injection
systems
The company also signed an
IP licensing agreement with
Leti and Caltech in 2012.
apixtechnology.com/
ME100-V2: up-view.
©
Leti Activity Report > 2012
12
Pierre Puget
pierre.puget@
apix-technology.com
fotolia ©Tijana
Leti Activity Report > 2012
14
15
highlights
highlights
Health
Frédéric Mallard
[email protected]
17
www.biomerieux.com/
2012 results:
Imaging system that can detect
bacterial colonies in a Petri dish,
which is only 9cm in diameter,
without opening it
Markets:
Large clinical microbiology labs
around the world
What’s next?
Implementation of this technical
reading solution in future versions
of automated incubators in fully
automated clinical microbiology
labs
TCI imaging: imaging on closed Petri dishes through their lid.
©
bioMérieux
bioMérieux and CEA: the benefits
of long-term collaborations
bioMérieux is a world leader in the field of invitro diagnostics. It provides diagnostic reagents,
instruments, and software that determine the source
of disease and contamination to improve patient
health and ensure consumer safety. Leti and bioMérieux’s collaborations began in 1997 with the creation
of a joint team to evaluate the advantages of emerging technologies for diagnostic systems applications. The collaboration was extended and expanded
in 2009. Here, Frédéric Mallard, head of the Sample
Preparation & Processing Lab of the Technology Research Department at bioMérieux, summarizes their
recent work.
Why did Leti and bioMérieux decide to extend
the collaboration?
F. Mallard: We expanded our
research goals and included
other CEA institutes under a
global strategic agreement.
It is still going strong, with
important contributions to
new diagnostic systems developed by bioMérieux, such
as next-generation mass
spectrometry systems, and
the full microbiology lab automation strategy. The Petri
dish imaging system that
was designed and demonstrated in 2012 in the frame
of our joint projects is a
good example of such direct
impacts.
interview
In brief:
Long-term strategic partnership
involving more than 100 scientists
from bioMérieux research centers
in France and the CEA research
centers in Grenoble and Saclay
Goal:
Intensify research and collaboration to develop novel technologies
for improved infectious-disease
management
Classical imaging with front
illumination: imaging on closed
Petri dishes through their lid.
©
bioMérieux
Please explain.
F. Mallard: The majority of
microbial analysis is based
on bacterial growth. Since
Pasteur, samples are spread
over the surface of a gelified
nutrient broth (gelose) in
a special box, or Petri dish.
Samples are incubated until
the bacteria of the sample
have divided and formed
colonies that are visible to
the eye and can be used
for further characterization.
In 2010, bioMérieux identified the need for a system
that would allow imaging
of bacterial colonies without opening the Petri dish.
The technical difficulty was
achieving this on the whole
surface of the dish (9cm diameter) despite the presence
of optical imperfections and
water condensations on the
inner surface of the lid.
What elements were key
in achieving this success?
F. Mallard: Beyond the technical and business expertise
of the partners,
Close collaboration
close collaboration
between scientists
between scientists
and specialists who
have worked to- and specialists played
gether successfully
a big role
over time played
a big role. This relationship
fostered easy-and-direct
communication between
bioMérieux and CEA teams,
including spontaneous
brainstorming and encouragement to be creative,
coupled with joint experimentation in the same lab.
Also, the fact that the feasibility work was done jointly
facilitated the technology
transfer to bioMérieux’s
teams.
The imaging solution we
developed allows this, even
when there is so much
water condensation that
the naked eye is unable to
distinguish large bacterial
colonies on the surface of
the gelose.
What special expertise do
the partners provide for
the collaborations?
F. Mallard: The partnership
gives bioMérieux access to
CEA’s unique competencies
in new imaging and other
optical technologies, as well
as data processing, nanotechnologies, and ultra-sensitive molecule detection
methods. bioMérieux’s expertise in microbiology, systems development, manufacturing, regulatory affairs,
and customer service allows
the proper orientation of
technical investigations
towards applications with a
potential major medical and
business impact. Our collaboration also will provide
future access to the innovative solutions developed
with the CEA that help us
target appropriate markets
at the global scale.
What are the practical
benefits of this technology?
F. Mallard: It will improve
the robustness of clinical
labs’ automated microbiology systems by providing a
very fast Petri dish screening technique to detect
bacterial growth, or undesired contaminations, with
minimal handling of the
dishes. In other words, it will
serve as the expert human
eye in fully automated systems, which no longer have
humans looking on.
Leti Activity Report > 2012
16
highlights
Health
Claude Vauchier
[email protected]
19
Lens-free imaging
breakthrough could open
new world of microscopy
PERSONNEL:
Five dedicated team members,
with an additional five providing
application support for the lensfree technology. Key managers
included laboratory head JeanMarc Dinten and project head
Cédric Allier
Lens-free image applied to the detection of a single bacteria and virus
Innovation platforms:
NanoBio and Clinatec
(translational medicine)
Bio-tech companies and
startups:
Cytoo, PX’Therapeutics,
bioMérieux
Since the 16th Century, researchers have used
microscopes to study the secrets of invisible organisms, most recently with electron microscopes that
can magnify objects millions of times their size. But
existing techniques are costly, complex, and restricted to very narrow fields of view – limitations that a
Leti team is addressing with an ingenious lens-less
technology that promises to open a new chapter in
biological microscopy.
The project originated in a Leti-DTBS-LISA bio-imaging group in the summer of 2009, when Cédric Allier,
a recently hired researcher with a background in nuclear physics, had time to explore a speculative idea.
He placed samples of microorganisms onto a transparent slide and covered the slide with an extremely
thin liquid. After a controlled evaporation process,
the remaining liquid coated the microorganisms very
closely, effectively creating a “micro-lens” on top of
each one. When Allier positioned the slide just above
a low-cost CMOS image sensor, like those used in
digital cameras, and illuminated it from above, the
liquid lenses created clear, high-quality images of the
microorganisms on the sensor below, enabling detection of single bacteria and viruses.
International structural
biology cluster:
Institute for Structural Biology
Unit of Virus Host Cell Interactions
Large-scale European research
facilities including EMBL, ESRF
and ILL
Experimental setup of the lens-free imaging device.
©
CEA-Leti
KEY TECHNICAL DISCIPLINES:
LISA laboratory’s background in optics, instrumentation, electronics,
software, information technology,
and biology
Ultra-wet film
Microlens liquid
Bacteria or virus
Coupling lens-free microscopy with 3D
cell culture provide a tool to e.g. study
epithelial tissue morphogenesis in a
large field of view and to elucidate the
regulation of growth, morphogenesis
and differentiation in normal and cancerous human prostate (in collaboration with DSV/iRTSV).
©
CEA-Leti
1µm bacteria S. epidermis
500nm polystyrene beads
300nm granulovirus CpGV
CEA-Leti
©
Unprecedented multi-scale imaging
Allier found that the process worked on items as large as individual cells (about 10,000nm across) and as small as viruses,
about 100nm across. Bacteria, typically 1,000nm across, can
also be imaged. This multi-scale imaging is unavailable in other
forms of microscopy; its advent will allow scientists to directly
observe interactions like those between bacteria and cells, or
viruses and tissues, over long periods of time.
Moreover, the process has an extremely large field of view
(over 20mm2), allowing simultaneous observation of thousands of organisms. This opens many new applications, like
statistical process control in incubators, quick toxicity checks,
and bacteria identification (the subject of early development
with CBRN).
Because systems using the technology are expected to cost a
few hundred euros, they will make new types of research and
monitoring cost-effective for companies in pharmaceuticals,
biotechnology, instrumentation, and even food-oriented enterprises like breweries.
UCLA partnership
Results of the effort were published in the January 2013 issue
of Nature Photonics, with the Ozcan Research Group at the
University of California, Los Angeles. The Ozcan partnership
provided multidisciplinary collaboration with software, electrical and bio-engineers, and nanosystems and instrumentation
experts. Work will be extended in partnership with DSV/IRTSV
and Clinatec for academic biological applications.
TARGETED INDUSTRY NEED:
Better capabilities for microorganism and nano-particle monitoring
and research, including pharmaceutical development, food safety, and
process control
PROJECT DURATION:
Three years, beginning 2009
24mm2 CMOS sensor
Microscope slide
BUDGET:
Direct support of 380,000 euros
in 2013; a total of 1,000,000 euros
including peripheral projects
KEY GRENOBLE-AREA RESEARCH INFRASTRUCTURE:
Leti-related laboratories:
DTBS (Health and Biology Department)
IRTSV (Life Science Institute)
Leti Activity Report > 2012
18
“This project benefits from Grenoble’s outstanding biotechnology research ecosystem, which lets us share ideas and data
and think strategically about market needs,” commented
Claude Vauchier, lab-on-chip for biology and chemistry program manager at Leti. “Going forward, we expect a rapid
transition to industrialization; lens-free imaging can become
an inexpensive and powerful tool to tackle challenges that
were once reserved for national institutions and large corporations.”
Bacteria S. epidermis 1µm
Fluo. Beads 500nm
Granulovirus CpGV 300nm
(top) Lens-free holographic acquisition
(cropped from a larger FOV of 28mm2,
scale bar 100µm) of an evaporated drop
with polymer and a sample containing
Staphylococcus epidermidis bacteria,
500nm polystyrene beads and
granulovirus CpGV (~300nm). (bottom)
Holographic reconstruction of the
cropped region corresponding to the
white rectangle (left). The false color
code the different particles.
CEA-Leti
©
highlights
Health
Loick Verger
[email protected]
21
Improving
resolution and
performance
in nuclearimaging
systems
RESULTS:
A versatile imaging-system
prototype was developed through
a choice of multiple collimation
strategies including parallel, pinhole, and convergent collimators,
thus enabling high-sensitivity,
whole-body or high-resolution,
and focused non-invasive imaging.
The prototype displayed extremely
promising performances as a multipurpose tool for preclinical nuclear
imaging
PROJECT DURATION:
Four years (2009-2012)
KEY TECHNICAL DISCIPLINES:
gamma detection, radiopharmaceuticals for non-invasive diagnostic molecular imaging
The aging population and growing incidence of
chronic disease have fueled interest in nuclearimaging techniques, in which special cameras provide 3-D images of internal organs by tracking radiopharmaceuticals, or radiotracers, in the body. Two
key R&D areas in this market are improved resolution
of gamma cameras, which detect gamma rays emitted by radionuclides, and increasing choices of radiotracers.
PARTNERS:
Biospace Lab – marketing,
automatism, systemic, software
CEA-Leti – gamma detection
technology, electronics
IMNC, simulations,
reconstruction
INSERM U877 unit, radiotracer,
cardiology applications
TIRO CEA-University, radiotracer,
oncology applications
INSERM U930, radiotracer,
neurology
For many years, Leti has focused on the next gener-ation of X- and gamma-ray detectors used in
imaging systems of major equipment manufacturers.
As part of this work, Leti has developed room-temperature semiconductor detectors based on CdTe (cadmium telluride) and CdZnTe (cadmium zinc telluride)
connected to a CMOS readout circuit for better performance than existing medical-imaging techniques.
©
One application is single photon emission computed tomography (SPECT), a technique for preclinical
and clinical imaging using gamma cameras. It allows
mapping the distribution of one or more tracers
within animals (pre-clinical) or humans (clinical) in
three dimensions and in real time.
Integrated gamma-camera with
10x10cm field of view. The system
embeds a small Linux-driven
computer allowing the camera to
process data internally. The size
of the camera is 15x15x24cm, and
its weight including tungsten
shielding is 6kg.
©
CEA-Leti
CEA-Leti / G. Cottet
SIGAHRS PROJECT
TARGETED INDUSTRY NEED:
A multi-functional, preclinical
scintigraphic imaging system for
diagnosis and treatment in oncology, neurology, and cardiology
STRATEGIC IMPORTANCE:
A high-performance, high-resolution nuclear-imaging system for
clinical cardiology use in humans
would open a much bigger market
for equipment manufacturers than
preclinical (animal) applications
Principle of the orthogonal capacitive strip
readout. The electrons generated by photon
interaction migrate to the anode strip and
induce simultaneously a transient signal on the
orthogonal grid strip. With 32 anode strips and
32 grid strips on a 24.5x24.5mm² crystal, it is
thus possible to address 1024 pixels
(750µm pitch).
©
CEA-Leti/G. Cottet
64 readout channels
©
CEA-Leti
The SIGAHRS project
The SIGAHRS project that ended in 2012 addressed both key
R&D areas. For the camera, it designed, developed and built a
modular SPECT prototype for detection and collimation that
can be configured for specific experiments. The detection
modules are based on Leti’s specially designed CZT detector
modules with the development of dedicated signal processing
like the depth-of-interaction measurements.
The project also developed a complete micro-SPECT imaging
system (10x10cm²) with 16,000 pixels of 750 microns – more
than a 2x improvement over current state of the art – for preclinical application. This application requires imaging systems
with very high spatial resolution to image small organs like
the heart of a mouse. To this end, an innovative coincidence
detection by row / column has been proposed to significantly
reduce the number of electronic channels required. The complete system imager now will be developed.
Testing the tracers
Laurent Riou, a biologist with expertise in the field of small
animal nuclear imaging and preclinical radiotracer evaluation
at INSERM UMR_S 1039 Radiopharmaceutiques Biocliniques,
which participated in the SIGAHRS project, says the lab’s main
objective is to develop new radiopharmaceuticals for non-invasive diagnostic molecular imaging in the fields of cardiovascular disease, diabetes, neurodegenerative diseases, and
cancerology. He explains that the evaluation of new potential
radiotracers requires the preclinical evaluation of candidates
on small-animal, mostly murine models of human diseases.
Small animal-dedicated gamma–cameras should display high
resolution and sensitivity in order to account for the lower
size of the experimental model and the lower injected doses of
radiotracer when compared to the clinical setting.
‘Extremely promising performance’
“Those improvements may be reached by using semiconductor detector technology such as that developed by Leti and
evaluated in our laboratory in the setting of an ANR-funded
grant,” Riou says. “High versatility was provided to the imaging system prototype through a choice of multiple collimation strategies including parallel, pinhole, and convergent
collimators, thus enabling high-sensitivity, whole-body or
high-resolution focused non-invasive imaging. The prototype,
therefore, displayed extremely promising performance as a
multi-purpose tool for preclinical nuclear imaging.”
Preclinical validations are underway at three sites:
INSERM U930 in Tours – neurology
TIRO CEA University in Nice – oncology, and
INSERM UMR_S 1039 in Grenoble – cardiology
Technetium (99mTc) image of a mouse
obtained using a parallel hole
collimator, showing uptake in the
stomach and the thyroid.
CEA-Leti
©
Leti Activity Report > 2012
20
highlights
Clinatec
François Berger
[email protected]
23
The advantages of co-locating
biomedical research
and technology design
Tapfinger and Protool
The first protocol, the Tapfinger Protocol,
has thus been launched. It is aimed at
customizing the positioning of implants
on the brain’s surface to control an exoskeleton by receiving voluntary motor
activity from quadriplegic patients using
a brain-machine interface (BMI) approach.
The Protool Project has been completely
finalized. It is now at the industrial-production stage and ready for clinical trials,
thereby confirming the advantage of
locating biomedical research and technology design on one site. For the first
time, electronic material will be used to
obtain currently inaccessible molecular information that could help develop
future medicines for neurodegenerative
diseases.
©
The authorization of biomedical research by the
French Regional Health Agency and the completion
of CLINATEC’s biomedical sector led to the opening
of the Clinical Sector in 2012. As a result, clinical
research protocols involving healthy volunteers or
patients are allowed, following expert assessment
and specific validation of each research protocol by
ANSM, the French National Drug Safety Agency.
CLINATEC is one of the only biomedical research
centers actually located on a technology design
site, the MINATEC campus. The aim is to validate clinical proofs of concept demonstrating the effective
and harmless nature of innovative technology arising from micro- and nanotechnologies and electronics, with applications ranging from biomarkers to
interventional approaches. CLINATEC’s main priority
is to speed up technology transfer to the patient’s
bed as safely as possible and promote industrial developments to produce biomedical products that are
accessible to all.
CEA-Leti / P. Avavian
MRI =Magnetic resonance imaging
MEG = Magnetoencephalography
Finally, CLINATEC’s innovation center has
been set in motion with the arrival of
two external teams. The first, DSV/iRSTV,
specializes in proteomics and reinforces
efforts in the area of biomarkers, and the
second is the LIST robotics team that
plays a vital part in the BMI project exoskeleton. Projects in collaboration with
external clinical teams are underway,
for example in the fields of urology or
ophthalmology, integrating technologies
from the site that have not yet been
transferred to the healthcare sector. This
capability illustrates CLINATEC’s growing
appeal.
Leti Activity Report > 2012
22
highlights
Optics & photonics
Gérard Destefanis
[email protected]
25
That’s cool
Existing IR detectors have to be
cooled to near the temperature of
liquid nitrogen (-193 ˚C) to prevent
unwanted signal noise, or “dark
current,” that can degrade the
accuracy of IR images
But by better controlling dark
current levels, Leti has developed
detectors that operate at temperatures as high as -123 ˚C. Sofradir
is using that technology to develop
an integrated detector-cooler
assembly that uses less than two
watts of power, without sacrificing
image accuracy
Cleanroom for packaging
Avavian
©
Leti and Sofradir shaping
the future of IR detectors
For 35 years, Leti’s Gérard Destefanis, research
director at CEA, has pioneered the development of
infrared (IR) detectors used to sense IR radiation in
military, space, industrial, and security systems. His
research helped lay the foundation for Leti’s 1986
technology spin off of France’s Sofradir Group – now
the world’s largest maker of mercury cadmium telluride (MCT) IR detectors. In 2012, France’s Ministry
of Education recognized Destefanis’s distinguished
technology contributions by awarding him the Knight
of the Order of Academic Palms. Here, he discusses
his research team’s work.
How big is Leti’s IR detector program?
G. Destefanis: Currently, 100
people work in our IR imaging program, while Sofradir
and its affiliated companies
employ more than 650. We
have a unique industrygovernment collaboration
with Sofradir through our
jointly funded DEFIR (Design
the Future of Infrared) lab.
Our IR imaging R&D center
is one of the world’s largest,
our research is recognized
as the best in the world and
DEFIR allows us to transfer
the resulting technology to
Sofradir in real time.
interview
DEFIR lab
2012 highlights
Two new MCT-based infrared
camera prototypes:
one featuring the world’s first
10-micron pixel pitch IR detector
array
the other, a 15-micron pixel pitch
IR detector array that operates at
temperatures up to -123 ˚C
Also:
new IR detector technology that
operates at significantly higher
temperatures
a dozen publications in the field
of infrared photodiode detectors
in collaboration with Sofradir and
other development partners
New products
This new technology could end
up in a variety of products, such
as unmanned aerial vehicles that
can stay aloft longer for border or
battlefield surveillance, and smaller
battery powered night-vision
devices
Leti Activity Report > 2012
24
Infrared image with
a 10µm pixel pitch
CdHgTe detector.
©
CEA-Leti
Crystal CdZnTe diameter
115mm (evolution over
40 years).
©
What are the primary
markets for IR detectors?
G. Destefanis: Most of the
MCT detectors that we codevelop with Sofradir are
used in military applications, such as night-vision.
Other markets include space
telescopes and scientific
instruments for pollution
control, industrial-site monitoring, medical imaging
and a range of other uses.
Two recent advances are
making these “size weight
and power” (SWAP) detectors possible:
First, reduction of the pitch
or distance between sensor
pixels to 10µm and connection by hybridization the
sensor photodiode array
on a CMOS silicon array of
same size, same number of
pixels and same pitch of 10
microns. By increasing the
What’s ahead for infrared
number of pixels and reduimaging?
cing the pixel pitch we can
G. Destefanis: Demand for
build IR detectors with betpremium cooled infrared
ter image quality at a reasocameras has been growing
nable size or keep the same
steadily for
image quamany years
We’re developing lity on more
at around
compact
smaller, lighter IR sensors.
5 per cent
a n n u a l l y. detectors that cost
We expect
Second,
less and use less new techscientific
segments
niques for
power
to evenreducing
tually take a larger share
the “dark current,” or signal
of the IR detector market,
noise caused by random
although that will require
electrons in IR detectors.
more and more complex
By reducing MCT matetechnical achievements.
rial defects and carefully
controlling the planar ionWhat are you focusing on
implanted diode formation
in 2013?
process, Leti has produced
G. Destefanis: We’re develow-dark-current IR detecloping smaller, lighter IR
tors that can operate at
detectors that cost less and
higher-than-normal tempeuse less power.
ratures without losing sensitivity or operability.
CEA-Leti
highlights
Telecommunications
Serge Bories
[email protected]
www.ict-earth.eu/
27
Over-the-air characterization
of the low-loss
antenna interface in
the Leti anechoic chamber.
CEA-Leti
©
The information and communications technology
(ICT) industry is a relatively small contributor to global CO2 emissions, and the efficiencies it allows in
many industries help lower their carbon footprints.
But the rapid increase in wireless users, soaring data
traffic, and the need for more base stations for nextgeneration mobile networks threatens to add to the
ICT’s CO2 emissions.
The EU is a leader in global efforts to improve energy
efficiency and reduce CO2 emissions, and the European Commission has set demanding goals for continuous improvement.
As part of that overall effort, the EC’s 30-month
EARTH project, which included 15 members from 10
countries, targeted a 50 percent reduction in energy
consumption of 4G networks. The project took a unified approach to improve the efficiency of the whole
communication system, including component and
node architectures as well as radio-interface technologies and network architecture.
The project exceeded its goals. It showed that is
possible to increase network capacity to meet traffic
demand that doubles every year without increasing
emissions. Specifically, it demonstrated a 70 percent
energy savings for LTE access networks without compromising service quality or system capacity.
EARTH project
revolves around
reducing ICT
industry CO2
emissions
PARTNERS: Alcatel-Lucent (coordinator)
Ericsson
NXP Semiconductors France
DOCOMO Communications
Laboratories Europe GmbH
Telecom Italia S.p.A.
Leti
University of Surrey
Technische Universität Dresden
IMEC
IST- Technical University
of Lisbon
University of Oulu
Budapest University
of Technology and Economics
TTI Norte
ETSI
LETI PERSONNEL: Two from antenna lab
Three from telecom lab
Two from radio frequency
integrated circuit design lab
TARGETED INDUSTRY
NEED: Reducing CO2 emissions of rapidly
growing ICT industry through
greater energy efficiency
LETI TOOLS AND SYSTEMS
USED: The large variety of technical
domains required a broad diversity
of tools and systems, from LTE
cell system-level simulators to IC
design tools, and includes Leti’s
anechoic chamber to characterize
the developed antennas
PROJECT DURATION: 30 months, beginning in 2010
BUDGET: 9.5 millions euros
PROJECT:
EARTH (Energy Aware Radio and
neTwork tecHnologies), which was
part of the EC’s 7th Framework
Program, brought together
telecommunications service providers, component and infrastructure vendors and
academic and research institutions
Low-Loss RF front-end
demonstrator during the final
review of the EARTH project.
CEA-Leti
©
Leti focused on base-station components and
network design
“The ICT sector is growing but its carbon footprint should not
follow that trajectory,” says European Commission Vice President Neelie Kroes. “I congratulate the partners of the EARTH
project who have found ways to deliver the services we need,
while reducing CO2 emissions and cutting energy bills.”
As a project participant, Leti focused on solutions ranging from
more efficient base-station components to solutions on the
radio-network level.
Leti’s contributions achieved a 20 to 40 percent energy savings on the DC power consumption of a 4G small cell RF frontend, which typically consumes 35 percent of the power of
small cell base stations. To begin, Leti produced new RF frontend architecture topology with a new dual-access antenna
that plays the duplexer-filtering role, and reduces loss in the
transmission filter. This also enables the power amplifier to be
used in low-power mode.
Leti also developed new tunable matching networks for the
power amplifier, whose efficiency can be optimized if the input
signal shape is known.
New network design
Addressing network design, Leti proposed a novel resource-allocation algorithm that classifies urgent users vs. non-urgent
users, and allocates resources to the urgent ones. Available
remaining resources are allocated to non-urgent users based
on the best momentary link.
“The EARTH project partners focused on ensuring that our
theoretical savings also will be practical ones,” explains project coordinator Dietrich Zeller of Alcatel-Lucent Bell Labs.
“We implemented key parts of the solutions in hardware and
software prototypes to illustrate their feasibility and show
their expected savings under realistic operating conditions.”
Future Internet Award
In 2012, the project won the prestigious 4th Future Internet
Award for its enabling contributions to sustainable and environment-friendly growth of mobile broadband infrastructure,
bridging the digital divide, and supporting smart growth.
Leti Activity Report > 2012
26
highlights
Design
Philippe Magarshack
[email protected]
Ahmed Jerraya
[email protected]
29
Frisbee Project
Demonstrating FD-SOI’s value
for advanced technology nodes
Primary Achievements
Leti’s design and manufacturing
process capabilities allowed ST to
industrialize in record time UTBB
FD-SOI, and thus offer a technological solution to its customers
that can compete with the best
processes
Manufacturing the Frisbee
demonstrator, which shows the
speed and power-consumption
benefits of FD-SOI technology
Main markets
Mobile, tablet and consumer
multimedia SoC segments, and
upcoming generations of analog-RF
and ultra-low power applications
Technology, collaboration
highlights
In less than six months, the collaboration developed a demonstrator
circuit, a real technological showcase for new innovative solutions
for UTBB FD-SOI. These include flip
well, ultra-wide supply and body
bias range or even ultra-low voltage
memory targeting 0.25V. This work
has led to several joint patents
Performance gain versus conventional Bulk CMOS technology.
Blue: 28nm ultra-thin body & box FD-SOI, no body biasing
Green: 28nm ultra-thin body & box FD-SOI, forward body biasing = +1V.
©
In 2011, it became clear that FD-SOI would be a
strong alternative for technology nodes below
28nm. The managers of the ST/Leti collaboration
decided to support work that highlights FD-SOI’s
capability to produce fast and energy-efficient
circuits. The Frisbee project was launched to produce
an FD-SOI demonstrator.
The team focused on creating advanced design
technologies to master IC design in nodes at 28nm
and below. Although the design is modest, a simple
ASIC with 2 millions CMOS gates, the project set ambitious performance goals: high speed and low power
consumption.
Philippe Magarshack, ST’s executive vice president for
design enablement & services, and Ahmed Jerraya,
Leti’s program manager for SoC HW/SW integration
and research director, discuss the project.
CEA-Leti
interview
Frisbee in brief
12-month bilateral contract with
STMicroelectronics
Goal
Design an extremely fast
circuit with a maximum operating
frequency greater than 2.5 GHz,
and capable of operating at a
supply voltage as low as 350mV
What’s next
The team launched an even more
ambitious project involving nearly
100 designers at two research
centers. For the first time ever, a
64bit ARM targeting future mobile
applications and supercomputers
will be implemented using UTBB
FD-SOI technology
What major technological
advances did Frisbee deliver?
P. Magarshack: UTBB (ultrathin body & box) FD-SOI technology is ST’s breakthrough
solution with intrinsically
significant improvements in
performance and power savings, complemented by an
excellent responsiveness to
power-management design
techniques for optimizing
energy efficiency.
The symbiosis between Leti
and ST allowed us to provide
already at the 28nm node a
real differentiation in terms
of flexibility, cost, and energy efficiency compared to
any process available on the
market.
What energy-use and
speed advantage does
this technology provide
end users?
P. Magarshack: Today, your
smartphone has to encode
HD or 3D video, handle 3G+
and 4G data communication,
Internet access and all sorts
of connectivity – and include
GPS and camera functionalities, with augmented reality.
Occasionally, it also is used
for phone calls … and all this
must fit in a 120g box of affordable price.
Even at the 28nm node,
conventional technologies
can’t offer such functionalities without draining your
battery or overheating your
device. Being faster, cooler,
and simpler, UTBB FD-SOI
appears as the best solution
for improved user experience,
including several additional
hours of web browsing.
A. Jerraya: FD-SOI transistors provide more power and
have lower parasitic capacitance than transistors produced with bulk silicon technology. The gain in speed and
voltage (~ 1V) at 28nm was
measured at 35 percent.
Because you can achieve a
given operating frequency
at a lower power supply voltage, the consumption gain,
which varies quadratically
with the voltage, reaches 50
percent.
How is Leti positioned
internationally for this
technology?
P. Magarshack: Leti is undoubtedly a world leader in
research on SOI technology.
Its expertise strongly influences the
Leti is
direction of the
s e m i c o n d u c t o r undoubtedly a world
industry for 14nm,
leader in research on
10nm, and beyond.
SOI technology
Were there any
critical challenges?
A. Jerraya: We needed to
perform several developments simultaneously:
dedicated standard cell
libraries, SRAM memory, and
Frisbee circuit architecture.
The interdependencies were
numerous and our schedule
left no margin for error. It
was a great collaborative
work, which would not have
been possible without ST’s
computing infrastructure,
engineering skills and management support.
What advantages does
Leti offer its partners for
advanced nodes?
A. Jerraya: Leti’s ability
to bridge innovation and
upstream industry is key to
such projects. We’re used
to working in new design
environments and we understand industrial design
flow. We recognize innovation that can be transferred without disrupting our
industrial partners’ flow too
much.
Leti Activity Report > 2012
28
highlights
Design
Michel Durr
[email protected]
www.sigfox.com/en/
31
A wireless network
for the Internet of Things
STRATEGIC IMPORTANCE:
SIGFOX is the first operator to offer
a wireless network dedicated to
low data-rate communication for
connected objects. The IoT and
M2M communications are seen as
transforming global developments
supporting, ultimately, a smart
planet
TECHNOLOGY:
Designed for low-throughput transmission (10b/s and 1kb/s typical
range), UNB wireless technology
features a high level of sensitivity.
Data transportation covers distances up to 40km in open space
and allows communication with
underground equipment – all with
high reliability and minimal power
consumption
©
SIGFOX
The SIGFOX solution
The French startup SIGFOX launched the world’s first cellular
network dedicated to M2M communications and the IoT in
2012. Its solution was developed specifically to connect any
object to the Internet at low cost, low energy, and with maximum efficiency.
The patented ultra-narrow band (UNB) technology operates via
RF bands that do not require a license and makes it is possible
to transmit over distances up to 40km, dramatically reducing
the number of base stations.
SIGFOX CEO Ludovic Le Moan says this network is ideal for applications like smart metering, e-health, building security and
building intelligence, asset tracking, equipment-and-infrastructure control, environmental control and agriculture, and,
of course, the Internet of Things.
“The potential benefits for individuals, businesses, and industries – in fact, the whole planet – are vast,” he says. “Just to
give a few examples: electricity-use meters will be read automatically, sensors will update patients’ conditions, or report a
leak in a buried gas line. In agriculture, the system will monitor soil-moisture content and signal when it’s time to irrigate.
A plant will be able tweet when it’s thirsty!
“Our solution can deliver these benefits at drastically reduced
power consumption and power emission,” Le Moan says.
While humans have created diverse and continuous
ways to communicate digitally, machines, objects,
and things have been mostly quiet. That’s changing.
Machine-to-machine (M2M) communications and
the Internet of Things (IoT) promise to connect tens
of billions of objects so they can communicate with
each other and support a smart planet.
Leti’s RF IC expertise
Leti and SIGFOX began work in 2012 to capitalize on Leti’s expertise in designing innovative wireless RF integrated circuits,
especially in the field of low-power radio. In the past 15 years,
Leti has developed many radio ICs covering a frequency range
from 13MHz to more than 60GHz, and it has broad experience in
innovative low-power radio ICs.
They are seen by many as an emerging transformational change in which the majority of data traffic
will be generated by things rather than by people.
Based on equipping objects with microchips and
antennas for radio communications, the IoT promises
greater efficiency, safety, and security along with
reduced waste, operating costs, and energy use.
However, most existing wireless networks are
incapable of meeting the challenges that IoT and M2M
applications present in terms of power consumption,
cost, service, and ease-of-use.
Leti Activity Report > 2012
30
Leti, SIGFOX, and
the Internet of Things
TARGETED INDUSTRY NEED:
A cellular network for the Internet
of Things (IoT) and machine-to-machine (M2M) communications
“The goal is to develop an IC with all functionalities to connect
an object or machine to SIGFOX’s network in bi-directional
mode, with very low power consumption and cost,” explains
Michel Durr, Leti’s analog and RF IC design program manager.
“This device will be able to operate in different industrial,
scientific, and medical (ISM) radio bands under 1GHz”.
Leti will focus on allowing bi-directional communication – specifically, the return path from the base station to the object
– because the receiver must provide very high performance at
a similar level to the base station, but with much lower power
use and cost and an embedded form factor.
highlights
Design
Cédric Dehos
[email protected]
33
Commercializing 60GHz wireless:
Leti creates a technology
infrastructure
Module Eagle back side:
STMicroelectronics test board with
ceramic (HTCC) millimeter wave
module including flip-chipped
«Eagle» transceiver and CMOS
power amplifier - antennas on
back side.
PROJECT DURATION:
Six years, beginning 2006
KEY TECHNICAL DISCIPLINES:
RF design, microwave/millimeter
wave design, system architecture,
antenna design, packaging, digital
design, digital signal processing
FUNDING PARTNERS:
Réseau National de Grandes
Centrales Technologiques,
60GHz Program (France)
QSTREAM, 15-member public private consortium focused
on millimeter-wave applications
(European)
STMicroelectronics, via the ST/
Leti MINATEC common lab;
additional support and
involvement from ST-Crolles
CEA-Leti
©
A challenging mission
The project has involved 11 Leti team members and more than 10
STMicroelectronics personnel, later joined by representatives of a
large customer. The early mission: develop a single-chip systemin-package (SiP) solution that could wirelessly transmit uncompressed HD video for wireless HDMI connectivity between TVs, DVD
players, set-top boxes, and video cameras. But this goal posed
many challenges, including a lack of infrastructure.
“This is one of the first broad applications of the extremely high
frequency spectrum, so there were no libraries of components
and their performance, no simulation software, no algorithms for
base-band circuit development,” notes Cedric Dehos, Leti project
leader. “We had to build it all.”
Module Eagle front side:
STMicroelectronics test board
with ceramic (HTCC) millimeter
wave module including antennas
- transceiver on back side.
©
Moreover, the millimeter waves used for 60GHz transmission are
not easy to work with. “At these frequencies, signal strength drops
rapidly with distance, during wireless transmission, and also
when the signal propagates between the antenna and transceiver, so you need high-gain electronics close to the antenna,”
explains Dehos. “Waveforms for such high data rates are complex
and require highly parallelized analog-to-digital conversion. And
the 60GHz standard actually ranges from 57GHz to 66GHz, so the
system needs high bandwidth. When the full team began work in
2008, the RF specifications were beyond state-of-the-art.”
Module Eagle back side.
©
CEA-Leti
CEA-Leti
Wireless Internet access is ubiquitous and
simple – turn on a laptop, tablet, or phone, enter a
Wi-Fi password, and you’re online. But this apparent
simplicity depends on complex infrastructure: chipsized radio frequency (RF) transceivers and antennas, circuitry that converts analog radio signals into
digital data and vice-versa, software to manage access and error-checking, and much more.
Since 2006, Leti has led a multidisciplinary 6.5 million euro effort to build similar infrastructure for
the emerging 60GHz networking standard. This new
transmission technology operates at a far higher
frequency than Wi-Fi’s 2.4GHz, and carries massive
data loads of up to 7 gigabits per second, making it
possible for wireless to handle tasks like HD video
streaming, wireless display and docking, file transfer,
and local area network traffic.
TARGETED INDUSTRY NEED:
Fundamental enabling technologies
for high-speed 60GHz wireless
communications
STRATEGIC IMPORTANCE:
60GHz technology is the first step
in opening the extremely high
frequency (EHF) realm for very
high-speed short-range wireless
connectivity. This could benefit a
wide range of consumer and commercial applications, from network
backhauls to automotive systems
and medical imaging. Leti has
already begun investigation of the
120, 240 and 280GHz bands, which
could provide a wireless alternative to 25 gigabit/second optical
connectivity
Transceiver Eagle:
Binocular view of «Eagle» transceiver chip in
CMOS65nm technology (9mm2).
©
CEA-Leti
Presenting the results
Just two years later, at the prestigious International Solid State
Circuits Conference, the team published initial details of the
world’s first WirelessHD-compliant four-channel transceiver, following up in 2011 with an innovative high-temperature co-fired
ceramic module that combined a flip-chip implementation of the
CMOS transceiver, a CMOS power amplifier, and glass folded-dipole
antennas on an integrated passive device. Additional refinements
in 2012 demonstrated HD video transmission with very low error
rates, and brought 60GHz technology to the point of commercialization.
ST’s rollout is leveraging Leti-developed demonstration boards,
a base-band modulator/demodulator with onboard A-D and D-A
conversion, and supporting tools including component libraries
and simulation software. The ST customer is aiming to sell STbased WirelessHD products in 2014.
Leti Activity Report > 2012
32
highlights
Design
Laurent Alacoque
[email protected]
35
Leti’s interdisciplinary sensor
work makes lighting
more intelligent, efficient
Leti Activity Report > 2012
34
2012 results:
A new analog acquisition chain
that drastically improves signalto-noise ratio and signal dynamics,
while also boosting robustness to
technological variation
An on-chip full-custom signalprocessor array with unique
instruction set and assembly
language, for optimal processing,
small footprint, and low power
consumption
Development of infrared-specific algorithms for signal enhancement and image segmentation, for
enhanced presence detection
Project duration:
Three years, starting 2011
Funding partners:
SEEL, funded by the CATRENE
nanoelectronics program, seeks
to develop energy-efficient and
dynamic-lighting systems based on
HID and solid-state lighting (SSL)
for general and automotive use
Enlight.
CEA-Leti
©
Electric lighting consumes roughly one-fifth of the
world’s electricity, even as LEDs and other light sources
have begun replacing technologies from the era of Thomas
Edison. Opportunities abound for efficiency and conservation; Leti’s interdisciplinary knowledge and ability to
integrate multiple technologies into manufacturable systems are playing a key role in two pan-European lighting
programs that promise significant economic and environmental benefits.
“Intelligent” lighting is a major goal for the Solutions
for Energy-Efficient Lighting (SEEL) and EnLight programs,
which aim to reduce lighting energy consumption by up to
40 percent. Intelligent light control adjusts to conditions
by sensing when a room is completely or partially empty,
for example, and selectively dimming or turning off lights.
This requires sensors that can detect not just the presence of people, but also the number of people and their
location – a capability that today costs about 5,000 euros.
Targeted industry need:
Low-cost localization sensors to
identify human presence in a room
for intelligent lighting, building
automation and surveillance
Strategic importance:
Energy is a global challenge, with
production, use, and conservation
all having major economic and
environmental impacts. Use of
advanced sensors, information
processing, and control technology
could bring drastic reductions in
energy consumption, without loss
of convenience, comfort, or safety
EnLight, funded by 28 industrial /academic partners, aims to
advance SSL with breakthroughs in
non-conventional, energy-efficient
and intelligent lighting systems,
beyond retrofits
Seel.
©
Bridging the price gap
“SEEL, EnLight, and many lighting companies are very eager for
better low-cost presence sensors,” explains Laurent Alacoque, IC
designer at Leti. “There is also strong demand in the home and
building-automation and surveillance markets. We realized that
Leti’s infrared bolometer sensor technology could be adapted to
localizing and counting people, and become widely attractive if
we could reach a target price of 10-100 euros.”
The infrared sensor technology developed in Leti’s Optronics
Department was originally made for high-sensitivity applications
where cost was not the prevailing issue. But co-funding from SEEL
and EnLight enabled Leti engineers to re-think the design. They
improved the robustness of the bolometer’s input by re-working
the analog signal acquisition chain, boosted onboard analytical
power with a highly customized processor, and created novel
algorithms specifically tailored for deriving presence data from
infrared light. The process leveraged the skills of over a dozen Leti
team members from five separate labs.
A constellation of competencies
“It’s rare to have this range of skills in one place – digital and
analog design at the chip and board level, optics, image sensors
technology, signal processing, and more,” says Alacoque. “By putting it all together, and always keeping volume production considerations in mind, we were able to bridge the cost-performance
gap in existing solutions and create an affordable, low-power
device. We liked the challenge of combining so many skills.”
To date, two patent applications have been filed, and discussions
are underway to transfer the technology to industrial partner ULIS,
which is building a new sensor-production facility near Grenoble.
CEA-Leti
highlights
Silicon components
Thierry Poiroux
[email protected]
37
Leti FD-SOI program reaches new
milestones in 2012 with ST production
line, record-setting processor
For decades, most microprocessor chips have
been built using bulk CMOS technology. But today,
chipmakers are increasingly constrained by its inability to meet the demanding processing and power
consumption needs of portable electronics. CMOS
transistors “leak” current even when turned off, reducing battery life and creating the need for an alternative approach – ideally one that does not require
wholesale changes to chip design and production for
the 28nm technology node and beyond.
KEY TECHNICAL DISCIPLINES:
Materials science, semiconductor
physics, modeling/simulation,
circuit architecture and design,
manufacturing engineering
TEM cross-section of FD-SOI transistors
fabricated on ultra-thin silicon film and
buried oxide.
©
Courtesy of ST Crolles
Leti, working with a range of international partners, has been pioneering a fundamental solution
to this problem for over 15 years, in the form of fully
depleted silicon-on-insulator (FD-SOI) materials.
This effort reached new milestones in 2012, with the
start of a 28nm FD-SOI production process at STMicroelectronics’s Crolles manufacturing site, and
ST’s demonstration of the FD-SOI-based NovaThor
L8580 smartphone processor, which set a speed record for application processors (3GHz).
“That was an exciting development, because the previous benchmark for smartphone processors was just
2.3GHz. The 8,580 enables smartphones to run at about
the same speed as PCs, and can also power tablets and
gaming systems, which provides new market opportunities for ST and their materials supplier Soitec,” noted
Leti research staff member Thierry Poiroux.
KEY PARTNERS
AND COLLABORATIONS:
Bilateral programs with Soitec and STMicroelectronics
IBM-ST-Leti trilateral
development program
IMEP-LAHC Laboratory, a CNRS lab focused on electrical
characterization and advanced CMOS modeling and simulation
ISEP Institute, focused
on low-power circuit design
Université Catholique de
Louvain, focused on
characterization and low-power design
Several additional French and European programs, including SATURN, NanoCMOS, PullNano, Decisif, Reaching 22,
and Dynamic ULP
TARGETED INDUSTRY NEED:
High-performance, low-power
processor technology for
smartphones, tablets, and other
portable electronics
PROJECT DURATION:
More than 15 years
KEY STRATEGIC BENEFIT
TO LETI:
Increased expertise on platform
construction in an industrial environment; this is a critical element
in Leti’s overall mission of bringing
life-improving technologies from
late-stage research into broad
commercial production.
Leti Activity Report > 2012
36
TEM cross-section showing the detail
of a 20nm gate length FD-SOI transistor
with high-k metal gate stack and
elevated source/drain.
Courtesy of ST Crolles
©
Buried oxide for better performance
FD-SOI wafers have a buried layer of insulating oxide below a
thin layer of silicon. This allows the technology to retain much
of CMOS’s well-characterized manufacturing and design process while offering superior performance and greatly reduced
leakage.
“On a strategic level, 2012 showed that our ultra-thin FDSOI is
now a proven credible alternative to the FinFET technology developed by Intel,” said Poiroux. “Our work with ST, SOITEC, and
IBM, which drew also on earlier projects with Texas Instruments,
has resulted in a technology with a better combination of performance and power consumption, a simpler manufacturing process, and much better compatibility with existing design models
and procedures. In a complex, change-resistant industry, those
are powerful benefits.”
Broad-spectrum research, industrial transition
Leti’s long-term work has engaged about 50 researchers in
recent years, and earned more than 30 patents on a broad
spectrum of FD-SOI-related development. Projects have
included the material itself, device architectures, process
integration, circuit simulation and modeling, characterization,
and circuit design.
Extensive testing on Leti’s 200mm and 300mm technology
platforms simplified the transition to ST’s production line;
electrical results from Leti’s partnership with Albany Nanotech
in the U.S. were also very helpful. Joint ST-Leti teams handled
the implementation, with about 35 Leti personnel assigned to
Crolles.
Work is continuing to extend FD-SOI across several technology
generations. The strong technology ecosystem around Grenoble allowed Leti to take part in the French EQUIPEX program,
and obtain two new tools for advanced cleaning and silicidation that will be used in research for the 11nm technology node,
which will likely arrive after 2020.
highlights
Silicon components
Emmanuel Defay
[email protected]
39
Leti’s long-term development
model enables new generation
of MEMS materials
PROJECT DURATION:
Current bilateral agreement
with STMicroelectronics Agrate,
two years
KEY TECHNICAL DISCIPLINES:
Materials science and engineering,
thin-film deposition via PVD and
later sol-gel processes, process
integration
FUNDING PARTNERS:
STMicroelectronics
Freescale Semiconductor USA
Initial funding from Leti’s
internal budget
Top view of PZT
based-actuators
with
their golden
contacts.
©
CEA-Leti
TARGETED INDUSTRY NEED:
A thin-film piezoelectric material
that can easily be adapted to
high-volume MEMS production lines
utilizing 200mm silicon wafers
The path from technological innovation to market readiness is often long, and seldom direct.
Especially with new materials, substantial work is
needed in characterization, refinement, and adaptation to production processes.
One of the great values of Leti’s strategic business model is the ability to maintain investment and
study by well-trained personnel over a period of many
years. Marketability is always in mind, but the time
frame can be considerably longer than a private company could support. A case in point is the development of novel PZT-based ceramic materials for use in
MEMS devices – industrial transfer of Leti-developed
materials to partner STMicroelectronics Agrate began
in 2012, but work at Leti dates to 2001.
Leti Activity Report > 2012
38
STRATEGIC IMPORTANCE:
MEMS devices are at an inflection
point in their history, poised to
bring a huge range of previously
unavailable capabilities to
healthcare, energy, environmental
monitoring, security, and other
sectors. While the electronic side
of the devices can draw heavily on
existing semiconductor technologies, mechanical aspects are less
mature. Piezoelectric materials,
with the ability to change shape
in response to electrical input, are
an important enabler for a wide
range of mechanical functions,
from simple switches to ultrasonic
applications and even flying microrobots inspired by insects
Top view of PZT-based actuators with
their golden contacts.
CEA-Leti
©
Piezoelectric possibilities
PZT, or lead zirconium titanate, is a piezoelectric material – it
expands when a voltage is applied, returning to its original size
when the voltage is removed. This quality makes thin films of
PZT appealing for many MEMS applications, including actuators,
switches, and ultrasonic devices. The concept dates to the
early 1990s, but major technical challenges had to be overcome.
Moreover, convincing MEMS producers and their customers that
a reliable production process could be created was equally complex.
Leti interns, Ph.D. candidates, and post-docs conducted smallscale research on the material for five years. In 2006, work was
formalized under a switch-oriented partnership with Freescale
Semiconductor USA, with the team growing to 10 researchers;
the roster now stands at 20, with a total of more than 40 people
having taken part over the years. An important milestone was
the 2006 shift from PVD film growth to a wet sol-gel process.
“Sol gel allowed us to obtain piezoelectric properties twice
as great as the PVD films,” explain Leti researchers Emmanuel
Defay and Gwenael Le Rhun. “Also, we developed our processes
on 200mm wafers, as used on volume production lines – this is
very difficult to do with PZT, but we’ve shown reliable, reproducible state-of-the-art results. In 2010, when STMicroelectronics
came to us, our 200mm process maturity was a key point for
them, as it allowed them to dramatically decrease time to market and be confident they could meet their goals.”
The power of accumulated knowledge
Le Rhun notes that Leti holds over 35 patents on PZT film technology, many of which are utilized in the deposition process that
is being transferred to ST Agrate in Italy as part of a two-year
bilateral agreement. “None of this would have been possible
without all the background knowledge on process and integration we’ve accumulated in the Leti organization since 2001,
and really since 1996, when two of our team members began
studying PZT during their Ph.D. work,” he says.
“This is why Leti is one of the only research centers in the world
able to reach this level of technological expertise,” adds Defay.
“We can start cultivating knowledge, and once an industrial
path is clear and commercial interest is revealed, gather a
large, highly skilled team to work on a focused task.”
highlights
Silicon technologies
David Cooper
[email protected]
41
Leti Activity Report > 2012
40
Silicon atoms.
Human resources:
75% of Dr. Cooper’s time
two post-doctorate students
two Ph.D. students
CEA-Leti
©
Partners:
Jülich Research Center, Germany
Grant amount: 1.5 million euros
Cooper and Leti’s Titan
Ultimate transmission
electron microscope.
©
CEA-Leti
Leti researcher wins prestigious
ERC Starting Grant
for independent research
You have a groundbreaking idea, and you can prove
that it’s plausible. But there is considerable risk along
with the big potential payoff. Plus, you need additional equipment and a team of researchers to help work
within the project. That’s where European Research
Council Starting Grants come in. Awarded to only
about 10 percent of applicants each year, the grants
are designed to encourage future research leaders.
David Cooper, a researcher in Leti’s department of
silicon technologies, has been developing innovative
techniques for characterizing semiconductors with
transmission electron microscopy (TEM) since 2006.
In 2012, he received an ERC Starting Grant to establish his own team, purchase additional equipment,
and conduct independent research. Here, he summarizes his project.
interview
ERC Grant highlights
Goal:
Support the next-generation
of research leaders
Project name:
Holoview
Grant recipient:
David Cooper, Ph.D.
CEA-Leti researcher
Project length:
5 years
The project’s potential:
Holoview is designed to develop
new ways of understanding how
semiconductor devices work at the
basic transistor level, which should
help researchers improve the
performance of existing technologies, while conceiving new ways of
making future devices
By using off-axis electron holography and a powerful transmission electron microscope (TEM),
researchers will be able to view
the positioning of individual atoms
within semiconductor devices
while they are being electrically
switched. They will also develop
the means to electrically test
different semiconductor samples in
situ within the microscope
By improving the sensitivity
of electron holography, they also
expect to be able to observe how
the devices’ structure changes
their magnetic, electrostatic, and
ferro-electric fields
What is your project’s
objective?
D. Cooper: The Holoview
project’s ultimate aim is
to be able to view single
atoms of electrically active
dopants, or impurities, within semiconductor devices
using a TEM-based technique called off-axis electron holography. With the
nano-scale dimensions
of today’s semiconductor
devices, only a few dopant
atoms, are required to create
a high dopant concentration. The aim of Holoview
is to see these individual
atoms while simultaneously
performing electrical tests
of the devices in situ in the
TEM. The goal is to learn how
the atomic-scale properties
affect the device’s electrical
performance.
How does the TEM technique work?
D. Cooper: Off-axis electron
holography uses an electron
biprism to split an electron
wave, so that one part is
passed through a semiconductor sample, whose
potential
The aim of Holoview electrostatic
changes the phase of the
incident electrons. The
is to see these
part of the wave
individual atoms, other
passes through a vawhile simultaneously cuum, and then the two
electron waves interfere
performing
to form an interference
pattern, known as a hoelectrical tests
logram. Our primary tool is a
versatile new FEI Titan Ultimate electron microscope,
which allows us to perform
electron holography with
atomic-scale resolution.
Where will you work?
D. Cooper: Most of our work
will be done at Leti’s Nanocharacterization Platform
(PFNC) laboratory on the
MINATEC campus in Grenoble. PFNC has numerous
state-of-the-art characterization, probe, and spectrometry tools, as well as a
team dedicated to specimen
preparation.
What is it like working at
Leti?
D. Cooper: One of the most
enjoyable aspects is the
opportunity to collaborate
with research teams from
other CEA institutes. In addition to Leti, the PFNC lab
is jointly operated by LITEN,
the Laboratory of Innovation for New Energy Technologies and Nanomaterials,
and INAC, CEA’s Institute for
Nanoscience and Cryogenics. Leti focuses mainly
on information technology,
LITEN on energy and INAC on
fundamental nanoscience
research. Working together
means that we get to benefit from the different experiences of all these team
members.
Atomic resolution map of the
potential in bilayer graphene.
©
CEA-Leti
highlights
Silicon technologies
Maurice Rivoire
[email protected]
43
Leti hosts 200+ elite
global technologists at
ICPT planarization/CMP conference
CONTENT:
38 talks, 36 posters, 12 exhibitors
Minatec
©
Semiconductor and MEMS devices are increasingly
sensitive to flatness variation in the substrates they
are built on. New-generation transistors and interconnect architectures require wafer surfaces to be
planar within 20nm, less than one-sixth of the tolerance in 2001 – and that will tighten to 15nm by 2015,
according to the International Technology Roadmap
for Semiconductors.
Leti, in partnership with STMicroelectronics,
has long been a center of research into wafer planarization, including essential chemical mechanical
polishing (CMP) equipment and materials technologies. Leti took this leadership to a new level in
October 2012 by hosting the International Conference
on Planarization/CMP Technology 2012 (ICPT2012) on
the MINATEC campus. More than 230 elite attendees
spent three days delving into the intense technical, operational, and economic challenges of nextgeneration wafer surface requirements.
PROJECT:
ICPT2012 in Grenoble,
15-17 October
232 top-level attendees from
around the world (32 percent
from Asia, 30 percent from
throughout Europe, 25 percent
from the U.S., and 13 percent
from the Grenoble area)
Invited speakers from Intel,
Samsung and Globalfoundries
Participants included
STMicroelectronics, Infineon,
TSMC, SMIC, MIT, Kyushu
University, and Fraunhofer
Institutes
Minatec
©
CO-SPONSORS:
STMicroelectronics, Soitec, SEMI,
GIANT, Ville de Grenoble
DURATION:
Over two years of planning and
preparation, including conference
program, event logistics,
accommodations, etc.
ICPT2012 attendees.
GlobalFoundries invited.
PARTNERS:
ICPT Executive Committee (for
content planning; representatives
from U.S., Japan, South Korea,
Taiwan, China, Europe); VDE gmm
(for management and logistics)
HUMAN RESOURCES:
CMP Team Manager Maurice Rivoire: conference program manager, member of ICPT
Executive Committee
Senior Engineer Catherine
Euvrard: led front-end
application program
Senior Engineer Viorel Balan:
led new materials program
Engineer Aurélien Seignard: led
back-end application program
Ph.D. student Florent Dettoni: led CMP characterization program
Additional members of the Leti and
MINATEC staffs provided planning
and event support, and assistance
during the conference
Intense worldwide need
“ICPT is one of the most dynamic industry conferences, because
of the high-quality attendees, and the intense pressure to advance planarization technology,” noted Leti CMP Team Manager
Maurice Rivoire, who led the organizing effort and managed the
conference program.
“The need is across the board – logic, memory and MEMS producers, developers of 3D integration, IDMs and pure-play foundries
– all have urgent requirements. We were proud to bring together
top-level people and papers from the world’s best institutions,
like Intel, Samsung, Globalfoundries, and MIT, and show this audience that Leti’s work is directly pertinent to every IC maker.”
This relevance was demonstrated by the signing of research
contracts with two industrial giants: a materials study with
BASF, the world’s largest chemical company, and a common development project with Applied Materials, the world’s
largest producer of chipmaking equipment. “These collaborations extend our reputation for unmatched innovation in CMP
consumables and tooling,” said Rivoire.
Leti experts featured
Leti Vice President Jean-René Lequepeys and Daniel Bensahel, silicon technology R&D director at STMicroelectronics and
longtime Leti collaborator, gave keynote addresses. Leti specialists also delivered several presentations. Senior Engineer
Viorel Balan presented the first paper on CMP for direct copper
bonding, while Senior Engineer Catherine Euvrard won public
praise from TSMC’s CMP manager for her advanced work on
replacement gate fabrication. Ph.D. student Florent Dettoni’s
(See Awards, page 9) work on interferometry for direct dielevel characterization won Best Student Paper, and Engineer
Aurélien Seignard and his ST co-authors received extensive
interest in their paper on barrier CMP processes.
In addition, ICPT2012 received strong international press coverage, including many mentions of Leti research. The event also
exposed attendees to Grenoble’s unique technology ecosystem, including the GIANT project. “In-person meetings are still
essential to relationship-building and collaboration,” said Rivoire.
“We provided a memorable experience, including a sunset dinner
with a view of snowy mountains at La Bastille. The positive effects will be felt for many years.”
Presenters included
SAMSUNG...
… and Intel
Leti Activity Report > 2012
42
highlights
IRT
Michel Wolny
[email protected]
45
Nanoelec IRT pursuing
3D integration and silicon
photonics solutions
for information and
communication technologies
Leti Activity Report > 2012
44
Nanoelec is addressing three challenges. First,
it conducts world-class R&D to prepare new approaches for future integrated circuits by developing
3D integration and silicon photonic technologies and
using major research tools for characterizing nanoelectronic materials and systems.
The second challenge concerns technology
transfer. In addition to developing new products and
applications based on connectivity between objects
and their uses, a specific program is aimed at giving
SMEs access to technological building blocks so they
can enrich their products and develop new ones.
NanoElec’s third goal is to create new training programs to help companies prepare for the future of ICT.
Laurent Fulbert, director of the IRT Nanoelec Silicon Photonics Program, and
Séverine Cheramy, director of the IRT Nanoelec 3D Integration Program,
discuss several aspects of their work.
How will 3D and silicon
photonics technologies increase the performances of
ICs?
L. Fulbert: The performance
evolution of electronic systems requires increasing communication bandwidth at all
interconnect levels. Photonic
technology is considered as a
means to overcome the interconnect bottleneck by utilizing optical links to replace
the long metallic wires. Silicon
photonics, which combines
the power of integrated photonics and CMOS technologies, is
seen as the only way to bring
photonic integrated circuits to
mass-market applications.
The French technological research institutes
(IRTs) which are part of the French Investment in
the Future Program, are designed to strengthen collaboration between public and private partners in
R&D projects. In 2012, CEA-Leti and 16 partners from
the public and private sectors came together in the
Nanoelec IRT to implement a technology development
and transfer program in information and communication technologies (ICT).
Optical coupling from
single mode fibers to
the silicon photonic
circuit
©
CEA-Leti
As far as 3D is concerned, IC
stacking offers several advantages that could increase
performance: Simply making
closer links between dies and
avoiding package-to-package
wires will decrease delay and
system power consumption.
Partitioning is a way to dedicate a device to a unique
function and thus to have
dedicated and optimized process steps for analogue or
digital dies. In addition, since
advanced nodes are increasingly requested for high-end
products, large devices become
critical in terms of yield: partitioning one big chiplet into
several smaller ones globally
will increase yield and global
performance by limiting redundancy requirements. Finally,
stacking two digital dies may
also produce performances
that one would expect from a
more advanced node.
What is the added value of
IRT Nanoelec for 3D integration and silicon photonics?
S. Cheramy: For both 3D and
silicon photonics, by gathering
key players in those specific
fields, and creating a critical
mass, the IRT Nanoelec will
leverage the R&D efforts for
developing the required key
building blocks and integration
technologies. Dedicated CAD
tool, disruptive architectures,
advanced technologies & characterization…all aspects of
the chain will be addressed on
route to creating a demonstrator.
The IRT Nanoelec is, for those
specific reasons, a unique
cluster of expertise in Europe,
which external partners can
join and add complementary
value. Furthermore, silicon
photonics technology will also
benefit from the results of
other IRT Nanoelec programs, in
particular 3D integration. Global convergence between both
IRT programs is a worthy and
challenging objective.
New common laboratories
47
C ommon laboratory with T rixell
Collaborating to keep Trixell in the lead
new common laboratories
©
CEA-Leti / Ph. Stroppa
Trixell and CEA have joined forces since 2006 to maintain
Trixell’s global leadership position in flat panel digital detectors for medical imaging by anticipating technological
changes and exploring enabling technologies for future product lines. Leveraging the diverse capabilities and high-performance tools of Leti, Liten, and other CEA departments, the
partnership has demonstrated a number of key technology
bricks that Trixell has integrated into its product-development
processes.
Health
Pierre Rohr
[email protected]
Leti Activity Report > 2012
46
New common laboratories
49
C ommon laboratory with M ulti X
C ommon laboratory with III- V lab
Common lab helps MultiX finalize
detector production version
Security
III-V Lab demonstrates tunable laser
Optics & Photonics
Wide range tunable
laser composed of two rings
resonators driven by two
separate heaters.
CEA-Leti
©
Test bench for CdTe X-ray detector
calibration and for material identification optimisation.
©
CEA-Leti
The common lab setup between Leti and MultiX, which began
in 2012 to enhance the present range of multi-energy spectrometric x-ray detectors and supporting software, was key
to finalizing the production version of the company’s ME100
detector for airport security and other scanning applications
and to start the R&D of the next generation of products (See
Startups, page 12).
Jacques Doremus
www.multixdetection.com
Leti offered a complete set of competencies in sensor design,
ASIC design, detector design, and specific simulation tools,
as well as II-VI semiconductor material expertise, and
signal and information processing.
CdTe spectrometric detector:
10% resolution @ 122 keV for 2.106 counts/mm2/s.
MultiX
©
III-V Lab, a joint lab of Alcatel Lucent, Thales and Leti, demonstrated the main bricks required for a fully integrated 25 Gbps
transceiver, including an InP laser on Si fabricated by direct bonding. A laser source with continuous tunability over 40nm has
also been demonstrated, as well as a switchable laser based on
an arrayed waveguide grating.
Also in 2012, the GaN microelectronics program demonstrated
the impact of a new metal insulator semiconductor (MIS) architecture, improving the performance of the transistor by three
orders of magnitude. The lab also developed a new packaging for
temperatures up to 250 C, and worked on improving security for
automotive applications by developing new transistors normally
OFF.
CEA-Leti will also coordinate a four-year project aimed at building a European-based supply chain in silicon photonics and
speeding industrialization of the technology. The PLAT4M (Photonic Libraries And Technology for Manufacturing) European
project will focus on bringing the existing silicon photonics
research platform to a level that enables seamless transition
to industry. The consortium consists of research institutes,
renowned technology providers like STMicroelectronics and
III-V Lab, end users and SMEs.
As participants in an FP7 European project (FABULOUS),
Leti and III-V Lab plan to develop, in the next three years,
silicon photonics components for application in Next
Generation Passive Optical Networks (NG-PON2).
Salvatore Cinà
www.3-5lab.fr/
[email protected]
Dominique Pons
[email protected]
http://www.3-5lab.fr/
Leti Activity Report > 2012
48
New common laboratories
51
C ommon laboratory with microoled
C ommon laboratory with G 2ELAB
Accelerating OLED innovation
Optics & Photonics
Breakthrough solutions for advanced
Electronics
power-electronics systems
Dummy 3D assembly of
matrices of vertical power
transistors and vertical
power diodes, for a true 3D
packaging solution.
©
Product Microoled MARYLAND,
0,38’’ 800x480, full WVGA.
Lamine Benaissa (CEA)
LESKER Super Spectros.
©
Microoled
Microoled
©
Sylvie Joly
[email protected]
MicroOLED is a leading supplier of ultra-high pixel density OLED
microdisplays with very low power consumption. Uses for its
technology include camera viewfinders, video goggles, medical
and security applications. Two MicroOLED microdisplays offer
the highest pixel density in the world along with high resolution
(1.7Mdots & 0.38 inch and 5.4Mdots & 0.61 inch). This offer is the
result of intense collaboration with Leti in a common lab that
began in 2009.
Gunther Haas
[email protected]
http://www.microoled.net/
Leti and MicroOLED extended their common lab in 2012 to
accelerate innovations targeting high-brightness OLED,
advanced packaging, and enhanced system integration.
Participating in an FP7 European project (SCOOP), they
demonstrated two advanced micro-display prototypes. The first is a full-color display with enhanced
sRGB color triangle coverage, and the second is a bicolor display with primary-color generation without
use of color filters.
To extend its OLED platform, which is already equipped with a cluster evaporation tool, Leti acquired a
high-throughput OLED evaporation tool for faster experimentation targeting optimization of OLED architectures.
In the common lab, Leti is providing expertise in highperformance top emission OLED stack architecture, thin
film encapsulation, and color filter processes.
Cluster SUNIC.
©
Microoled
In the first year of their common lab, Leti and Grenoble Electrical Engineering Laboratory (G2Elab) produced novel powerdevice implementations, such as driving and packaging of
GaN-based devices and modules, and electromagnetic modelling for electric vehicles. The partnership also demonstrated innovative 3D-packaging techniques for vertical power devices
that generated strong feedback from global industrial companies. These results, and the focus of the lab over the next three
years, support G2Elab’s goal of offering breakthrough solutions
for advanced power-electronics systems.
Paul Ferrieux
g2elab.grenoble-inp.fr/
Matrices of vertical power transistors with common
drains and isolated copper plated Gates and Sources.
(Photograph of the 4’’ Silicon Wafer, for 3D assembly at
wafer level and module level).
©
Nicolas Rouger (G2Elab)
Leti Activity Report > 2012
50
New common laboratories
53
C ommon laboratory with CO L A S
C ommon laboratory with EVG
New technologies for analysing
Data analysis
traffic flow
3D-integration R&D with EVG
Rehabilitation of taxiway of the
Toulouse-Blagnac Airport
in Haute Garonne.
Silicon technologies
70nm thickness and 5*5mm² and
10*10mm² silicon membranes.
©
CEA-Leti
COLAS - Xavier Seyler / Nuuk Photographies
©
The Colas Group is a global leader in the construction and maintenance of roads and other transportation infrastructure, operating in 50 countries around the world. In their common lab,
Colas and Leti will focus on developing innovative technologies
for collecting and analysing data about road use and traffic
for owners and operators of roads and other infrastructure.
Examples of the data include traffic-flow patterns, axle-load
distribution and assessments of pavement structural aging.
JL Gautier
www.colas.com
Leti and EV Group launched a three-year common lab in 2012
to refine temporary- and permanent-bonding technologies
related to 3D TSV integration. The lab combines Leti’s expertise
as a global leader in wafer-bonding research and EVG’s leadership in developing wafer-bonding equipment and process
technology. EVG, which has partnered with Leti on a variety of
projects over the past 10 years, chose the common lab
framework because of the close collaboration it
provides.
70nm thickness and 5*5mm² and
10*10mm² silicon membranes.
©
CEA-Leti / G. Cottet
EVG850A : automatic bonding.
CEA-Leti / G. Cottet
©
Markus Wimplinger,
EVG Corporate Technology
Development & IP Director
Leti Activity Report > 2012
52
New common laboratories
55
C ommon laboratory with arkema
Lithography based on nanostructured
polymers
C ommon laboratory with F EI
Silicon technologies
Failure analysis of 3D-IC devices
Silicon technologies
Integration for contact shrink
by directed self assembly of
PS-b-PMMA block copolymers.
These results are obtained using
Arkema materials and 300mm
pilot line available at Leti .
Arkema
©
CEA-Leti / G. Cottet
©
The new common lab between Leti and Arkema demonstrated
the resolution potential of lithography based on nanostructured polymers for devices ranging from 20nm to sub-10nm.
The partners also created a joint-development program called IDEAL that is dedicated to developing and optimizing this
lithography technology. This platform relies on Leti’s expertise in process and electronic-component integration, and Arkema’s know-how in the development
and industrialization of nanostructured polymers.
IDEAL already has attracted attention from companies along the whole lithography value chain, including IDMs and equipment suppliers such as Sokudo,
Tokyo Electron and STMicroelectronics.
Ian Cayrefourcq
www.arkema.com
Leti and FEI’s collaboration on advanced analytical solutions
based on FEI’s Titan™ transmission electron microscopy (TEM)
platform was expanded in 2012 to include failure analysis of
3D-IC devices. This involves novel methods for high-resolution
X-ray tomography of macroscopic samples with FEI’s latest
Vion plasma FIB tool and an SEM equipped with in-situ X-ray
tomography.
In October 2011, the lab installed and qualified a Titan Ultimate
TEM and in 2012 prepared to install and qualify the Vion
PFIB and a Quanta 250 SEM with W source and equipped
with an X-ray CT system.
In addition, TEM results produced further improvements at the software and application levels,
including improved algorithms for nano-beam diffraction strain analysis. On the PFIB/in-situ X-ray
CT side, the lab demonstrated the proof of concept
with < 200nm resolution X-ray imaging of plasma
FIB prepared samples.
CEA-Leti / G. Cottet
©
Loek Kwakman
www.fei.com/
Leti Activity Report > 2012
54
New common laboratories
57
C ommon laboratory with E D A
Four distinct levels of design
Design
Infiniscale:
Yoann Courant, R&D Director
[email protected]
Atrenta:
Fahim RAHIM, Senior Director of R&D
[email protected]
Docea Power:
Sylvian KAISER, Chief Technology
Officer
[email protected]
By Emmanuel Vaumorin,
strategic projects manager for Magillem
Design Services
[email protected]
Joint labs positioning through
the digital design flow.
CEA-Leti
©
CEA summaries of labs focusing on the transistor level,
RTL and architecture level
Infiniscale (transistor level)
Infiniscale and Leti are pushing the capabilities of EDA tools beyond the limits of the
classic Monte Carlo analysis. Infiniscale’s ICLys® suite of tools greatly speeds up the
Fast Monte Carlo and High Sigma processes. In addition, Leti and Infiniscale are studying digital memory design, combining Leti’s design experience and Infiniscale’s deep
statistical expertise.
Atrenta (register transfer level)
Atrenta, Leti and List are focusing on power consumption analysis at the RTL and
architecture levels using Atrenta’s Spyglass® software platform. It allows changes
in high-level circuit architecture and optimization before synthesis steps, ultimately reducing power consumption at the transistor level. Atrenta’s CAD tools also
offer the possibility of 3D partitioning optimization, during architecture definition.
DOCEA Power (architecture level)
Leti, List and DOCEA Power researchers are working on high-level thermal modeling
for architecture-level exploration. Accurate thermal modeling for future 3D circuits
is performed for 3D design exploration. A hardware/software simulation platform
for many-core architecture, based on a retroactive power/thermal model, allows
accurate evaluation of thermal mitigation approaches, using DOCEA’s Aceplorer®.
The CAD tool challenge is to provide early power and thermal analysis of complex
systems, enabling designers to make the right architecture decisions before the final
design at gate & transistor levels.
Magillem: hardware abstraction level & hardware-dependent software
We are working with Leti and List to elaborate new parts of Magillem’s design flow, and
enhance design methodology opportunities for our customers. This lab will help Magillem
streamline the design process through a computer-readable and consistent unified HWSW interface documentation. With this hardware/software unification, Magillem ensures
robust and optimized HW/SW articulation for embedded software developments.
Magillem brings the expertise and tooling on the IP-XACT IEEE 1685 standard, used for the
documentation of the hardware and for generating hardware-dependent software. CEA
brings its expertise on integration of hardware platforms and embedded software.
Common labs with Magillem, DOCEA Power, Atrenta, and Infiniscale are addressing
four distinct levels of design through the digital design flow. The common labs allow
CAD companies to evaluate and enhance their tools using Leti’s advanced circuit
and technology test cases, while giving Leti first access to its partners’ advanced
software CAD tools.
Highlights of our collaboration in 2012 include:
> IP-XACT modeling and hardware abstraction layer (HAL) generation. Based on an official
register description (IP-XACT), which can be extracted from the RTL of the digital design
flow, we automatically and properly addressed the hardware registers.
> Hardware-dependent software (HDS) generation. The HAL was used to build the primitive software sequences that are recommended to get the various hardware states.
The lab also implemented those two steps within a coherent framework.
Leti Activity Report > 2012
56
Partners’ perspectives
59
Developing innovative sensors
for real-time bioprocess monitoring
By Christian Valentin, bioprocess R&D
executive director at Sanofi Pasteur
www.sanofipasteur.com/EN/home.html
Sanofi Pasteur, the largest company in the
world devoted entirely to human vaccines, is
working in a strong partnership with Leti and
other partners to develop a process analytical
technology (PAT) application that contributes to
a quality-by-design approach. It uses innovative
in-line, real-time measurements supporting process development and, potentially, manufacturing.
partners’
perspectives
By incorporating sensors
for bioprocess monitoring
during vaccine process
development, a PAT system
reinforces process understanding, which allows realtime process monitoring
and decision making. Our
challenge was developing
real-time measurement
systems based on innovative in-line sensors that
provide a permanent and
dynamic analytical process
follow-up.
The work began in 2008
when Leti and Sanofi Pasteur
screened and identified different analytical solutions for
in-line bioprocess monitoring.
That project resulted in an
original optical concept, and
a joint-ownership patent for
Leti and Sanofi Pasteur.
©
Photoalto
That was followed by the PATVAX project to design the in-
line sensors. PATVAX, which
was supported by the Unique
Interministerial Fund (FUI)
awarded through the Lyon
Biopôle Cluster, also includes
Merial, a Sanofi company focused on animal health; SMEs
involved in instrumentation,
SmartInst and Cyberstar; and
an academic lab, ENS Lyon.
The two main technologies
that Leti used for this project
are an optical sensor based
on reflectance measurement
and an electrical micro-sensor for conductivity measurement.
In 2012, we demonstrated
two sensor prototypes that
provide real-time process
monitoring. PATVAX was
extended for six months to
demonstrate that our technologies can be applied in a
large-volume manufacturing
environment.
©
Sanofi
Health
Leti Activity Report > 2012
58
Partners’ perspectives
61
Mass production in sight
for smarter lighting network
Lighting
Building a smarter
electronic security system
By François Foschia,
president, Evolution Consulting
[email protected]
By Pierrick Boulay, Diffuselec
[email protected]
Diffuselec, a French developer of high-output
LED and hybrid lighting systems, launched a
development project with Leti in 2012 to design
a new intelligent, wireless lighting system. The
goal was to create a product suitable for mass
production, and adaptable to a variety of different environments, from offices and restaurants to retail stores.
Our technology is unique
in that its light module
contains both the sensor
and the lamp, and the modules are simple to install:
only two wires to connect.
the light output in different
situations. Leti also designed simulations to test how
the lights would perform with
user interactions in different
environments.
To design the communication
system for the new network,
Leti and Diffuselec used the
ZigBee wireless protocol,
which enables data transmission over long distances,
with each device (light module) acting as a receiver and
transmitter. Leti brought its
expertise in ZigBee antennas and sensors, and helped
develop a customizable
software program to manage
the network and control
At the end of the 10-month
project, the collaboration produced a network with 10 light
fixtures that is very close to
a final product design. Our
partnership will continue in
2013 to fine tune the product
for the services sector and
start mass production. The
network will also be used as a
basis for two other products,
one for industrial lighting and
another for outdoor lighting.
Integration in a false ceiling.
©
Diffuselec
Antenna integration
LED light
Intense light sensor
Motion sensor
Elements of the lamp.
©
Diffuselec
Microcontroller board
Radiator LEDs
Installation system
Carte alimentation
Inside view of the lamp.
©
Diffuselec
Installation of the lamp.
Diffuselec
©
Telecommunications
Evolution Consulting and Leti are developing a
sophisticated system of miniature antennas and
customized batteries for use in GSM communications and GPS localization. A primary application
is an advanced electronic security system that
can be used to track and protect jewelry and
other luxury goods.
Evolution Consulting specializes in designing highperformance electronic modules, data-management
systems, and customized
software platforms. We
have benefited from Leti’s
expertise and creativity in
system integration and miniaturization, high-energy
battery design, low-power
electronic designs, and project management. Another
important resource has
been Leti’s high-level technology platforms, which we
use to test antennas, batteries, and other components under development.
A watch prototype
Over the course of the project,
which began in 2010, we have
optimized the system’s communication and localization
capabilities, and built new
batteries with very low energy
consumption and high-energy
capacity. In 2012, with the help
of a jewelry designer, we built
a watch prototype with inno-
vative miniature antennas
for GSM and GPS communications, very efficient inductive
electronic wireless reloading, a
high energy density battery,
and efficient system integration.
Looking ahead, we would like
to improve the performance
of the system following tests
we conducted in 2012. We anticipate minor modifications
to the antennas and would
also expect to adapt the
electronics and connectors
to new battery prototypes.
We also plan to work on new
applications customized for
security and safety, and the
consumer market. To address
these challenges, we plan to
work with experts in powder
metallurgy at the CEA-Liten
laboratory, and develop new
perspectives on material
choices for luxury goods and
system integration.
A patent has been registered
to protect the global system.
Watch project
Evolution Consulting 2013
©
Leti Activity Report > 2012
60
Partners’ perspectives
63
Setting the stage for a new
generation of motion sensors
Components
Technical and strategic benefits from
collaboration Project 3 with IBM
By Stéphane Renard,
Tronics founder and CTO
www.tronicsgroup.com/
Within two years, the team will
develop motion sensors with
6, 9 and even higher degrees
of freedom (DOF), in which all
sensing elements use the same
M&NEMS technology. Our goal
is to achieve both significant
surface reduction and performance improvement of the
multi-DOF sensors.
Tronics’ wafer-level
packaging realizations.
©
Artechnique/D.Michon
Shifting paradigms
Not only will the M&NEMS technology shift paradigms in the
consumer MEMS industry, but in
principle it can also suit the highperformance requirements of
IBM and Leti’s fruitful collaboration on metrology and characterization provided both technical and strategic benefits for both organizations. We benchmarked tools and protocols,
created reference materials that are shared
across sites, introduced new techniques and
extended existing techniques for advanced
technology nodes.
future high-end accelerometers
and rate gyros.
Beyond smaller die size and the
ultra-low power consumption,
M&NEMS technology allows
manufacturing of all the sensor’s
axes (accelerometer, gyroscope,
magnetometer, pressure) with
one unique technology platform.
This high level of integration and
commonality simplifies the associated control and readout electronic circuits, both in terms of
design and operational efficiency.
The project includes Tronics’ tierone pilot customers and wellestablished industrial partners,
to ensure its fit with market
needs and its rapid convergence
to actual products. Leading
ASIC suppliers are also contributing their expertise to design a
motion-sensor chipset that fully
leverages the M&NEMS strengths.
In addition, data-fusion software
specialist Movea is providing its
world-recognized expertise to
enable advanced motion-capture
capabilities, such as augmented
reality and indoor navigation.
Movea, a Leti startup, also is
providing the MEMS and system
specifications for future consumer products and developing
flexible and scalable software to
be implemented in the 9-axis.
Silicon technologies
By Angela Lamberti, IBM Materials
Analysis Project Manager
www-03.ibm.com/technology
After more than 10 years of successful partnership, Leti and Tronics are engaged in one of
our most ambitious MEMS projects to date, targeting a real breakthrough in the MEMS industry.
In 2012, we launched a new
large-scale project to industrialize Leti’s breakthrough
“We obviously are excited about this M&NEMS (micro and nano
project. The ink of the contact signa- electromechanical systures was barely dry before the dedica- tems) technology.
ted teams from Leti and Tronics star- Based on piezoresisted working together, sharing tasks tive nanowires rather
and responsibilities as if they were
than pure capacitive
part of the same organization.”
detection, this technology is poised to be a real leap
forward in terms of device
performance and chip size.
The ULTIMES project, supported by the French Government through the “Programme
d’Investissements d’Avenir,”
sets the stage for a new generation of combo sensors for
motion-sensing applications.
Leti Activity Report > 2012
62
M&NEMS
©
CEA-Leti
IBM has incorporated many
techniques developed in the
project into our characterization mission for existing and
future technologies.
The face-to-face collaboration
with the Leti team visiting the
U.S. and the IBM team visiting
Grenoble provided many opportunities to share ideas, develop improved data-analysis
techniques, enhance understanding of the data, and leverage our supplier interactions
to improve the capabilities of
the techniques. The development project also generated
several joint technical papers
published by the IBM and Leti
teams.
The project focused on multiple key benchmarking techniques in 2012. Developing TEM
techniques for epitaxial layers,
we achieved significant
advancements in TEM strain
characterization applied to
bulk and SOI technologies.
We also had successes in
ellipsometry porosimetry,
infrared spectroscopy, X-ray
analysis, ultra-shallow junction characterization using
scanning spreading resistance
microscopy, gate stack characterization, and interconnect – focusing on techniques
related to integration of ultra
low k films in back end of line
process.
The extended scope of work
for 2013 includes atom-probe
tomography, high-resolution
X-ray diffraction, expanding
atomic force microscopy
techniques, and learning from
Leti’s experience on nanowire
strain characterization.
©
IBM
©
IBM
International partnerships:
65
Leti Activity Report > 2012
64
innovating together
The US: opportunities
on many fronts
In 2012, the CEA’s office in the U.S. strengthened
the visibility of Leti in North America in order
to develop new industrial partnerships and
coordinate and promote the Alliance for Nanosystems VLSI (NanoVLSI Alliance: www.nanoVLSI.
com), which was created in 2007 with the California Institute of Technology (Caltech).
By Hughes Metras,
Leti-USA liaison
[email protected]
Leti Day in Tokyo Seminar, October 3, 2012.
©
Japan: a special relationship
Since the launch of APIX Technology in 2011 (See Startups of the Year,
page 13), the alliance is focusing its efforts on applications of NEMS
sensors in the field of mass spectrometry for detecting single molecules, with applications in the biotech and pharma industries.
By Thomas Iljic,
Leti-Japan liaison
[email protected]
The office is also exploring new partnerships with leading academic
teams, including research in the field of lensless imaging with the group
led by Prof. Aydogan Ozcan at UCLA.
The promotion of Leti has been intensified in the U.S. computing industry with contacts established all along the value added chain, from
manufacturers of semiconductor solutions to system providers for
high performance computing and data warehouses. Leti’s capabilities
in photonics and 3D interconnects coupled to the Grenoble innovation
community are appealing to U.S companies.
CEA-Leti
CEA-Leti
©
Among other 2012 projects, Leti and HP Labs launched a partnership in the field of silicon photonics for future computing
architectures.
The year 2012 was marked by increased collaboration on 3D integration
with Shinko Electric, stronger ties between Leti and Japanese equipment manufacturers, including Yushin for bonding-debonding equipment, and growing interest in Leti’s advanced lithography programs:
Ideal (directed self-assembly) and Imagine (maskless lithography). The
latter now includes Tokyo Electron Limited (TEL), which is following the
example set by Sokudo, TOK, and JSR.
The visibility of Leti, the GIANT campus and the French innovation environment as a whole was enhanced throughout the year
by our participation in various events, such as the Embedded
Systems Conference in San Jose, the OFC Conference in Los Angeles, SEMICON West in San Francisco, the YESS workshop in Berkeley, the SOC Conference in Anaheim, the MEMS Executive Congress in
Phoenix, and the RTI 3D conference in the Bay Area.
SEMICON West.
CEA-Leti
©
These actions also benefit from a close cooperation with institutions
such as AEPI and Invest in France Agency.
Over the past decade, Leti has built a special
relationship with Japan, a world leader in many
aspects of micro- and nanotechnologies. During
that time, agreements with well-known partners
such as Nikon, NEC, Fujitsu, and Azbil (formerly
Yamatake) have been signed on subjects as diverse as lithography, DNA chips and RF technologies. Leti consolidates, monitors, and develops
these partnerships and joint projects from its
representative office that opened in Tokyo in
2008.
Mrs. Kotani, Yushin President and Dr. Malier,
LETI CEO, signing the cooperation agreement.
©
CEA-Leti
A high point of the year, the Leti Day conference held each October,
provides the opportunity to discuss the latest technological advances
with local partners and specialists in a variety of fields. The 2012 Leti
Day, the eighth for the conference, brought together 200 participants
in Tokyo, and more than 170 in Nagoya for a first-ever special session.
67
Leti’s Reach in
Leti Activity Report > 2012
66
Europe
transport
Estralia: Energy storage with
lowered cost and improved safety
and reliability for electric vehicles
Unplugged: Wireless charging for
electric vehicles
LETI PLAYS AN ACTIVE ROLE IN STRENGTHENING EUROPEAN technological RESEARCH. IT IS ESPECIALLY
ACTIVE IN PROJECTS OF THE 7TH FRAMEWORK PROGRAM IN KEY AREAS THAT SERVE LETI’S MISSION: INNOVATION FOR INDUSTRY.
Security of goods and people
Quicom: Quantitative inspection
of complex composite aeronautic
parts using advanced X-ray techniques
Bio-Protect: Ionisation-based
detector of airborne bio-agents,
viruses and toxins for fast-alert
and identification
IN 2012, LETI PARTICIPATED IN 61 EUROPEAN PROJECTS
IN THE FOUR PILLARS OF FP7 (COOPERATION, INFRASTRUCTURE, PEOPLE AND IDEAS), LINKING TECHNOLOGY
AND APPLICATIVE STAKES.
Leti is also very concerned with the definition of tomorrow industrial
stakes. It contributes to the work of the High Level Group led by CEA
Director Jean Therme, which is aimed at fostering industrial deployment of European Key Enabling Technologies (KETs) to keep pace with
Europe’s main international competitors, restore growth, create jobs,
and help addressing today’s major societal challenges.
es
l
p
m
Exa
r
u
E
of
CEA-Leti / G. Cottet
Energy & Environment
Nanophotonics4energy:
Nanophotonics for energy
efficiency
Tolop: Towards low-power ICT
While FP7 will end next year, Leti is contributing to the next multiannual program, Horizon 2020. It also is involved in setting up future
Public-Private Partnerships (such as photonics, 5G, etc.), as well as
in the definition of the European Technology Platforms’ strategic research agendas (EPoSS, nanomedicine, Net!Works). Leti remains a key
member of joint technology initiatives, e.g., ENIAC and ARTEMIS.
nP
a
e
op
©
CEA-Leti / G. Cottet
©
ect
j
o
r
Silicium
Nirvana: Nine-axis intertial sensor based
on piezoresistive nano-gauge detection
Steeper: Silicon quantum wire transistors
PLAT4M: Photonic libraries and technology
for manufacturing
s
CEA / P. Avavian
©
Laurent Hérault
[email protected]
Biology & healthcare
CEA / Ph. Stroppa
PodiTrodi-EU: Technology platform
for point-of-care diagnostics for tropical
diseases – EU
Swan iCare: Smart wearable and
autonomous negative-pressure device
for wound monitoring and therapy
©
©
CEA-Leti / G. Cottet
Science
Holowview:
Single active
dopant detection
in semiconductor
nanowires using
electron holography
©
ESO/J.Emerson/VISTA
Wireless & smart devices
Butler: Ubiquitous, secure internet-of-things with
location and context- awareness
5GNow: Non-orthogonal asynchronous signaling for
systems beyond LTE-A
LEXNET: Low EMF exposure future networks
QOSMOS: Quality of service and mobility driven
cognitive radio systems
Leti’s events
69
Leti Activity Report > 2012
68
health
Secure Day
Leti’s events
Leti demonstrated two
prototypes, among
others, for analyzing air-quality samples at
S e c u re D a y
in October,
an event to
show public
authorities,
industrials,
and first res-
ponders CEA’s innovative solutions and products for defense
and homeland security. Silent
and portable, the prototypes are
capable of collecting air-borne
viruses and nanoparticles with
an exceptional high-collection
efficiency. The event attracted
nearly 250 participants from
government agencies, publicsafety departments, and industrials.
Instrumentation
ColiTrack.
©
CEA-Leti
BIODOSI: collector
of individual air.
©
CEA-Leti
DEFI: Briefcase for analyzing toxins.
©
CEA-Leti / G. Cottet
SPIE Defense, Security and
Sensing 2012 USA Baltimore
Stand Sofradir.
optics and photonics
©
CEA-Leti
Innovations in
infrared detectors
Leti and its partners presented more than 10
papers at SPIE Defense, Security and Sensing
2012 in Baltimore, Md., USA, on Leti’s latest
developments in IR detectors.
Highlights of the presentations
included two new IR detector
arrays: HgCdTe arrays operating
at 150K, almost twice the current standard, with high perfor-
mance; and the world’s first
array with a pitch of 10µm,
which will increase the resolution of cameras or reduce sensor size.
optics and photonics
Leti, Minatec Booth at
the OFC conference 2012.
CEA-Leti
©
Making connections
at OFC/NFOEC
©
CEA-Leti
Leti established contacts with more than 40 key players
in the fields of datacom and telecom for the computing
industry during the OFC/NFOEC Exposition. Leti presented
its capabilities for silicon photonics technology – design,
fabrication, and tests of telecom/datacom devices and
circuits – and provided updates of its work on lasers,
modulators, photo-detectors, and passive devices. The
team’s presentation on 300mm processed wafers drew a
lot of interest.
Camera HgCdTe
Format TV/2 pitch 10µm
(3-5µm band).
CEA-Leti
©
Leti’s events
71
design
Design Automation Conference
In keeping with Leti’s worldwide recognition as an innovator in design, its growing presence at the IEEE/EDAC/ACM Design Automation
Conference (DAC) included the participation of Leti researcher
Fabien Clermidy on the Technical Program Committee, and several presentations.
Rodolphe Heliot’s paper on
“Neuromorphic Architectures”
received the HiPEAC Paper
Award. Lionel Vincent presented
a paper on embedded statistical
tests, and Edith Beigné presented
an invited paper on “Energy Harvesting.” The 49th annual DAC gathering
silicon technologies
Inaugural European Atom Probe
Workshop
The first European Atom Probe Workshop at
MINATEC in October sparked a lively exchange among experts in atom probe analysis about how the technique can provide more reliable 3-D reconstructions
for nanoelectronic devices.
also was the second year in a row a Leti paper was
recognized in the “Wild and Crazy Ideas” session.
The session spotlights forward-looking or innovative design ideas that are applied to research fields
other than their intended ones. For the past two
years, Leti has proposed new uses for emerging
technologies.
Organized by Leti and the CNRS
GPM Rouen, a leading atom
probing lab, the event gave
attendees from the U.S., Japan
and Europe a close look at Leti’s
nanocharacterization platform
(PFNC). ETH Zurich will host the
event this year.
CEA-Leti
©
Reptile, a neuromorphic
architecture integrated circuit,
including 3 tiles of 12 neurons.
©
Leti Activity Report > 2012
70
silicon technologies
CEA-Leti
Inauguration of
Titan3 Ultimate
Leti
14th Leti Annual Review
More than 400 people attended Leti’s 14th Annual Review in June on the MINATEC campus in Grenoble. Speakers and participants included eminent researchers from around the world and executives from global companies,
SMEs, and startups in a variety of industries.
The two-day gathering highlighted the ways that
technologies developed in Leti’s laboratories are
paving the way for new nano- and microelectronics applications, and new man-machine and
brain-computer interfaces.
Presentations traced the technological evolution
in a wide array of fields and subject areas, including microsystems, design, biomedicine, photonics, intelligent systems, and cyber security. A
session dedicated to startups noted how Leti’s
commitment to industrializing new technologies
contributes directly to regional and national
economic development.
The inauguration of the FEI
Titan3 Ultimate microscope in
the Nanocharacterization Platform (PFNC) in October included
an Advanced TEM Workshop
at Grenoble’s World Trade Center. The event allowed Leti and
its two partners on the PFNC,
Liten and INAC, to promote the
platform to the international
scientific community, highlight
PFNC’s common
lab with FEI and
organize high-level presentations
on state-of-theart R&D in electron
microscopy.
Olivier Peyret @the WTC during the official inauguration.
©
Damien Chaboud/photospro.fr
silicon technologies
MAM 2012 at MINATEC
Material scientists, process and integration engineers, Ph.D. students
and industry leaders from around the world gathered for Materials
for Advanced Metallization 2012 on the MINATEC campus.
CEA-Leti
©
CEA-Leti
©
©
CEA-Leti
Sessions covered results in
new and extensive materials
research needed to allow continued IC scaling and to develop
new devices based on resistive
memories, 3D integration and
nanomaterials. Chaired by Sylvain Maitrejean of Leti, MAM
2012 included several Leti papers and a keynote by Dr. Jean
Michailos of ST France.
Leti has been a member
of the MAM scientific committee since 2006.
CEA-Minatec
©
Leti’s offer
72
73
Leti Activity Report > 2012
Pierre-Damien Berger
[email protected]
Ways of working with Leti
With a specialized interest in smart devices, Leti
is primarily focused on
micro- and nanotechnologies; design, development and integration of
microsystems; imaging;
and microelectronics for
biology & health, communication technologies
and nomad objects.
Leti’s offer
• Bilateral agreements last up
to two years and are guided by
precise objectives with a performance schedule and milestones
to be met, including technology
transfer and IP valorization. The
development team primarily includes Leti researchers and may
involve our partners’ staffs.
• Technology transfer: Leti
teams provide our partners with
all the required process documentation. We also train their
employees at our facilities prior to
bringing support and assistance
to their manufacturing site for
process-flow introduction.
• Pepite: the PEPITE platform
gives small and mid-sized enterprises (SMEs) access to mature
Leti-developed electronic technologies, in focused short-term (6-12
month) projects that include planning and development support.
• Creativity offer: serving
industry – from SMEs to major
companies – in first-time collaborations, the Leti Creativity and
Innovation unit offers expertise
and tools that enable industrial
companies to transform their
challenges into economically
viable technology solutions.
It includes technologists and sociologists who apply the methods
and tools of their disciplines for
creative results.
~35M€
Over 2,200
patents
286
50
40%
under license
1,700
post PhDs
researchers +36
generated in 2012
Photoalto
from contracts
CapEx
©
>75%
• R&D projects in a consortium
enable industrial partners to pool
their research costs and benefit
from significant subsidies, often
for long-term issues.
• Common labs are a unique
contract frame, ideal for managing
a set of projects with maximum
response to our partners’ industrial strategy.
Set for a minimum of three years,
they include technology transfer
and peer IP management.
The labs also involve teams from
Leti and our partners, which often
work on both Leti and industrial
sites.
250M€
budget
Leti offers four types of joint development projects ranging from
several months to several years:
startups
& spin-offs
365
industrial
partners
common laboratories
50
with industrial partners
38%
with
PhD
students
162
foreign students
Leti’s offer
S
Photo electron microscopy
(SEM) of a finished microneedle
for Debiotech. We see perfectly
liquid injection hole which opens on
one side of the needle. Diameter of the
needle: about 200μm.
CEA-Leti
©
The 3S (Silicon Specialty Solutions) platform provides easy
and quick access to most of
Leti’s mature technologies and to
its wide range of infrastructure
and capabilities, from advanced
single-step to pre-series production. Emphased in 2011, this new collaboration mode is also increasing
the size and number of Leti’s upstream
R&D contracts.
2012 results
In 2012, Leti-3S doubled its yearearlier achievements, working
with 10 new customers and
completing joint-development
projects with suppliers such as
SPTS Technologies and Nanoplas. We developed production
samples, components or silicon
wafers for CERN, the Leti spinoff
ULIS, and other partners in the
medical, telecommunications,
automotive, and big-instruments fields.
Jean-François Teissier
[email protected]
O
it -3
Silicon Specialty
Solutions platform
unit adds 10 customers
pe
Thin wafer (120µm)
after debonding and
placed onto a dicing tape.
CEA-Leti
©
These projects leveraged Leti’s
expertise to, for example, offer
deposition and patterning for IR
telecom network components,
quickly meet demand of large
quantities of silicon microneedles, and establish bestin-class performance for pressure strength on micro-cooling
devices.
Open 3D ships
devices to CERN,
proving platform’s
effectiveness
TM
D
n3
Eric Rouchouze
[email protected]
Leti Activity Report > 2012
75
Le
74
Around the world, semiconductor producers are moving to 3D
integration – stacking and interconnecting chips to produce
compact but extremely powerful
electronic systems. Leti’s Open 3D
platform provides a unique opportunity for industrial and academic customers to access well-characterized 3D
technologies, prove their design concepts, and
begin prototype production at moderate cost
with short cycle times.
2012 results
A major 2012 success story was
the June delivery of custom
3D-ready read-out chips with
through-silicon vias (TSVs) to
CERN, the European nuclear
research lab. The chips allow a
particle detector to be mounted
on top with direct interconnection. A dicing solution was
in place by October, and the
shipped devices have shown
excellent results in preliminary
electrical test.
Yann Lamy
[email protected]
The devices will undergo testing
in a radiation environment in
2013, before integration with the
particle detector.
The Open 3D platform is being
applied on several other highenergy physics projects, and is
also finding significant interest
among MEMS manufacturers,
aerospace and bio-medical companies, and in high-frequency
applications. Work is currently
fully operational on 200mm wafers, with 300mm capabilities to
be in place in 2013.
Infrared microscopic photo shows
the channels of the micro-chillers etched in
silicon for CERN and on which a cover was
glued directly by hydrophilic bonding. The
white areas are the channels (empty) as seen
through the silicon and the black areas are
the areas glued with perfect uniformity.
CEA-Leti
©
TSV last connecting the metal 1
pad and the backside.
CEA-Leti
©
Leti’s offer
it e
p
e
©
CEA-Leti
The PEPITE platform gives small
and mid-sized enterprises (SMEs)
access to mature Leti-developed
electronic technologies, in focused
short-term (6-12 month) projects
that include planning and development
support. Companies in a wide range of sectors participate to conduct demonstration and
proof-of-concept work, and differentiate their
offer through technology.
2012 results
In 2012, Minalogic’s Technological Research Institute, under the
IRT Nanoelec initiative, launched
the Easytec program for SMEs
seeking to create or update
products using nanotechnology and microelectronics. The
program offers subsidies of up
to 50 percent of projects costing 70,000 euros (less for more
expensive projects). PEPITE is
an ideal fit for Easytec projects, which aim to create value
within 18 months.
Candidate projects are collaboratively evaluated for tech-
Leti Activity Report > 2012
PEPITE puts new
technology within
reach for many SMEs;
Easytech adds
opportunities
De
77
P
76
Integrated
embedded systems
n
g
i
s
Norbert Daniele
[email protected]
Verigy 93000
industrial tester and
Thermonics T2500E
precision temperature forcing system.
CEA-Leti
©
nical, economic, and strategic
merit by a joint Easytec/PEPITE
team. Approved projects embark
on a process that includes one
to six months of exploration,
two to nine months of securing
technical resources, and two to
nine months of implementation
and bringing new products to
market.
Embedded systems add smart features to products in a wide range
oF markets: mobile devices,
household appliances, automobiles, pacemakers, and smart
cameras, to site just a few. They
are expected to play a key role
in the Internet of Things, and in
the pervasive computing, which
will allow billions of objects
around the world to communicate
with each other wirelessly via the Internet, powering a smart planet.
The challenge is now to put these
dedicated single-purpose computers in a single chip that provides
connectivity, data processing,
sensitivity, power management,
and signal generation.
To address this challenge CEA
created the Integrated Embedded
Systems Platform around two
competencies centers: Leti’s
integrated circuit design and
test expertise, and the embedded
software development of CEAList’s NanoInnov platform.
The initial phase of Easytec is
focused on SMEs in the RhôneAlpes region of France, with
plans calling for subsequent
national rollout. Local collectives and the National Research
Agency (ANR) are partnering in
the effort.
Combining these two fields in a
single platform makes the CEA
the first research organization to
support such an original and global offer for industry. It provides
clients a single partner to address
the whole chain of competencies required to develop a smart
integrated embedded system,
from advanced technologies to
architecture, circuit design, system evaluation, and embedded
software. This is a key to ensuring
development of optimized and
Thierry Collette
[email protected]
innovative embedded solutions.
The platform, along with the Leti
Technologies Platform, helped
STMicroelectronics industrialize
its breakthrough UTBB (ultra-thin
body & box) FD-SOI technology.
(See the Frisbee Project Highlight,
pages 28-29).
Besides ST, some of the partners
in the Integrated Embedded
Systems Platform include CSEM,
Kalray, BeSpoon, and Siemens.
In 2012, the platform also contributed to the development of:
• ST’s P2012/STHORM, a 20mm2
CMOS 28nm massively parallel
architecture with 69 cores, for
scalable and customizable acceleration devices
• Kalray’s MPPA (multi-purpose
processor array) massively parallel architecture, a 256-core processor in CMOS 28nm, a state-ofthe-art circuit in the professional
electronics market
• CSEM’s GENEPY, a homogeneous processor array for 4G LTE
applications
New investment
of the plateform
(MG Veloce2 manycore
system emulator).
CEA-Leti
©
P2012/STHORM,
an advanced
technology manycore
processor, embedded
on a demo-board.
CEA-Leti
©
CEA-Leti
©
Leti’s offer
ea
lt h
Chemistry Zone.
Biological micro-devices are
poised to transform healthcare.
They unite the mechanical capabilities of MEMS structures with
the sensing and data-processing power of microelectronics to
create on-chip bio-chemical analytic
capabilities that could create huge new
markets.
Gilles Marchand
[email protected]
n
ies
g
o
l
o
CEA-Leti / G. Cottet
©
CEA-Leti
©
Leti’s Chemistry Platform offers partners access to materials synthesis and chemical functionalization processing, for applications like
in-vitro diagnosis, molecular imaging, drug delivery, and implantable and wearable biomedical
devices, as well as environmental and industrial process monitoring.
2012 results
The Chemistry Platform reached
several important milestones in
2012, including a publication in
Nature Chemistry (in collaboration with CEA/DSV) on development of new materials for
hydrogen production. Other accomplishments include grafting
of antibodies onto lipidic nano-
Attolight is a Swiss startup
whose innovative combination of
electron and light microscopy
reveals ultra-trace impurities
and crystallographic defects
not visible with other imaging
techniques. Leti and Attolight
launched a joint development program in 2012 to extend the use of
Attolight’s cathodoluminescence (CL)
microscopy technology in semiconductor
and research applications, and complete the
unique material-analysis offer in Leti’s nanocharacterization center (PFNC).
Attolight’s CL microscopy is a
non-destructive measurement
technique that generates quantitative, high-resolution data.
It is particularly useful in the
analysis of semiconductor materials, phosphor, ceramic, rock,
and glass. The company’s com-
An important enabler for embedding of reagents
is lyophilisation, or freeze-drying, of tiny volumes of biological materials. Leti is one of just
a few centers worldwide with this capability.
The platform also provides equipment for analysis and characterization of functionalized
substrates and materials, robotics for spotting
of DNA, proteins and antibodies, and the ability
to synthesize, formulate, and characterize organic and inorganic materials.
Gaining a hi-res view
of semiconductor materials
Névine Rochat
[email protected]
Jean-Claude Royer
[email protected]
mon lab with Leti is designed to
apply CL microscopy in the fields
of GaN power transistors, deepUV emitters and plasmonics, as
well as to analyze solar cell efficiency and LED performance and
reliability.
Analyzing nanoscale structures
using sonar principles
CEA-Leti / P. Avavian
©
particles (including validation
of targeting), selective functionalization of nanopores with
antibodies, and development of
a gas stationary phase microcolumn in silicon, allowing separation of alcanes.
All processes are based on
200mm wafers, and designed for
easy transfer to partners.
CEA-Leti / P. Avavian
©
[email protected]
Christophe Licitra
[email protected]
Jean-Claude Royer
Leti and Menapic, a French startup that
enables physical characterization of thin
films, are collaborating on a joint development program to apply colored picosecond
acoustics (APiC) to gain a more in-depth
view of source materials for semiconductor and microelectronics development.
Menapic’s APiC measurement
technology reproduces the
principle of sonar in nanoscaled structures: a spectroscopic pulsed laser source generates acoustic waves in a thin
films stack, and the analysis of
the propagation of the acoustic
pulse in the stack enables the
characterization of each layer.
This unique multi-wavelength
technology opens up new
opportunities in thin film
characterization by acquiring
more information from samples,
including film thickness, elastic
properties, and interface characteristics. The APiC technology will be an important addition
to Leti’s world-class nanocharacterization center (PFNC) on
the MINATEC campus.
Close-up view of the colored
acoustic picosecond bench.
CEA-Leti
©
Leti Activity Report > 2012
Chemistry:
technology for
a healthcare
transformation
o n tec
c
i
l
i
S
h
79
H
78
Leti’s offer
81
h
gies
o
l
o
n
CEA-Leti
©
Collaborating with
Tokyo Electron on
thin-film materials
for gas sensors
and biosensors
Leti and long-time collaborator
Tokyo Electron Ltd. (TEL) launched
a joint-development program to
develop and optimize thin-film deposition of polymers or hybrid organic/
inorganic materials using innovative
technologies such as initiated chemical
vapour deposition (iCVD) and filament assisted
CVD (FACVD).
Micro- and nanotechnologies,
especially for chemical and biochemical analysis that can be
used in gas sensors or biosensors, are targeted applications.
This innovative process can also
be useful in more classical microelectronic applications such
as 3D and organic electronics, in
energy devices and in biomedical applications such as bio passivation, and molecule captures.
Vincent Jousseaume
[email protected]
www.tel.com/
S il i c o n t e c h
S i li c o n t e c
Leti Activity Report > 2012
80
lo gie s
o
n
MOCVD enables
production of III-V
heterojunctions
on silicon platform
A major recent trend in semiconductors is heterojunctions –
transistor structures of strained silicon or silicon-germanium
that boost performance while
maintaining CMOS manufacturability. Today, CEA-Leti is incorporating
compound semiconductor materials
into CMOS using metal-organic chemical
vapor deposition (MOCVD), a promising new heterojunction approach.
Integrating III-V materials onto
silicon requires substantial work
on film deposition, analysis, and
characterization, which is under
way within a collaboration
between CNRS-LTM (member of
the RENATECH network), CEALeti, and the equipment supplier
Applied Materials.
It also allows the development
of materials that are difficult
to obtain by other techniques
(functionalized polymers, copolymers, porous thin films, organosilicate materials), especially
for functionalized micro- or
nanosystems.
Functionalized layers developed
in the project will be tested by
APIX, a startup launched by a
partnership between Leti and
Caltech, and a potential enduser of these sensitive layers for
sensors.
The technology can add performance, cut power usage,
and enable ultra-fast optical
communications and low-cost
Scanning Electron Microscopy top
view picture of GaAs selective
epitaxy on silicon (100) in a SiO2
cavity. The grey part corresponds
to GaAs, whereas the black part
corresponds to SiO2 material.
CEA-Leti /CNRS-LTM/AMAT
©
production of III-V solar cells on
silicon.
In 2013, CEA-Leti and CNRS-LTM
will install an MOCVD epitaxy
reactor in the CEA-Leti 300mm
cleanroom. That will position
them in the strategic field of
arsenic- and phosphorus-based
III-V compounds grown on Si
wafers, which may have applications in new generations of
logic devices and/or photonics
integrated on CMOS chips.
Thierry Baron
[email protected]
More than 40 years of history
83
1963
first french integrated
circuit produced by the CENG
“electronics section”
1976
first French X scanner
designed and built at Leti
1972
Efcis spin off specialist
for on-demand production
of MOS circuits
(to become ST in 1992)
1986
Sofradir created,
a spin-off of Leti
1992
Soitec
created,
startup
of Leti
1983
silicon accelerometer patented 1987
sensor technology
transferred to Terraillon
2011
opening of an innovative
moving cleanromm
system to link
2009
2006
platforms
IBM CMOS Alliance
inauguration of Minatec
2012
&
Campus
creation of
launch of Clinatec
&
APIX, 1st startup
opening of a new 200mm line
1999
2010
Leti/caltech
dedicated to MEMS
1st 20nm transistor
opening of a complete
produced by Leti
300mm fab dedicated
2008
to 3D integration
Caltech Alliance
2002
first silicon gyrometer MEMS
developped and transferred
on 200mm wafers
V. Lassablière
1967
creation of Leti
y
r
o
t
s
i
h
f
o
©
©
CEA-Leti
0
4
n
a
h
t
More
s
r
a
e
y
Leti Activity Report > 2012
82
General Organization
85
Characterization:
N. Gambacorti
[email protected]
Fabrice Geiger
Lithography:
S. Tedesco
[email protected]
Roland Blanpain
Silicon Technologies Division
Systems and Solutions
Integration Division
Other Processes and Advanced Materials:
S.Van
[email protected]
LETI-3S:
Sensors:
J.-J. Aubert
[email protected]
J.-P. Polizzi
[email protected]
Advanced microelectronics:
Passives & Interposers:
C. Reita
[email protected]
Y. Lamy
[email protected]
3D IC integration:
Techno design:
P. Leduc
[email protected]
Chr. Raynaud
[email protected]
Jean-René Lequepeys
Silicon Components Division
[email protected]
[email protected]
Security & Defence:
Smart Sensors IC design:
C. Condemine
[email protected]
Embedded sensors and functionalization - Complexs systems:
A. Paleologue
[email protected]
Thierry Collette
Sensor systems – Energy:
J.-M. Leger
[email protected]
n
o
i
t
a
z
i
n
a
g
r
O
l
a
r
e
Gen
Analog, Imaging & wireless IC:
M. Durr
L. Rudant
[email protected]
A. Merle
[email protected]
Power electronics:
[email protected]
Manycores, Image processing
& software for embedded systems:
B. Lucas-Leclin
Wireless communications:
J.-Fr. Teissier
[email protected]
Digital processing systems
& embedded software:
A. Jerraya
Architecture, IC Design
and Embedded Software Division
SME:
Céline Soubeyrat
[email protected]
DIRECTOR
Laurent Malier
Clinatec
Platform
Daniel Vellou
Micro Technologies for Biology and Healthcare Division
Medical Devices:
E. Gouze
[email protected]
Environment Monitoring:
S. Locatelli
[email protected]
Nanomedecine:
P. Boisseau
[email protected]
Systems for Process
Monitoring:
Cl. Vauchier
[email protected]
In vitro Diagnostic:
A. Thermet
[email protected]
Open
Innovation
Platform
Bruno Mourey
Optics and Photonics Division
Medical Imaging:
Fr. Glasser
[email protected]
Integrated photonics on silicon:
Visible optical imaging:
L. Fulbert
[email protected]
A. Rochas
[email protected]
New technologies for lighting:
Bolometrers infrared & THz imaging:
P. Mottier
[email protected]
Fr. Simoens
[email protected]
Display and optical sensors:
Cooled infrared imaging detectors:
S. Joly
[email protected]
G. Destefanis
[email protected]
Leti Activity Report > 2012
84
Leti Activity Report > 2012
86
87
Michel Durr
Analog, Imaging & wireless IC Program Manager
[email protected]
Benjamin Lucas-Leclin
Manycores, Image processing & software for embedded systems Program Manager
[email protected]
Business Development - Nanomedecine
Research Director, European projects Coordinator
[email protected]
[email protected]
Sylvie Joly
OS
CM
[email protected]
Alexis Rochas
Visible imaging Program Manager
Claude Vauchier
Research Director, Scientific director - Grenoble
[email protected]
[email protected]
Francis Glasser
Christian Gamrat
Bruno Mourey
[email protected]
François Vacherand
Deputy manager Systems & Solutions Integration Division
Optics and Photonics
Contacts
Roland Blanpain
Head of Systems & Solutions Integration Division
[email protected]
Annual Research
Report
Céline Soubeyrat
Program Manager
cé[email protected]
Jean-Michel Léger
Program Manager
[email protected]
Dominique Noguet
Head of Telecoms and security Lab.
[email protected]
Sébastien Dauve
Head of Sensors and Systems Lab.
[email protected]
Tiana Delhome
Head of Innovation Lab.
[email protected]
Paul Grève
couv-ADES.indd 1
couv-STC.indd 1
26/02/13 10:01
2012
© CEA 2012. All rights reserved, any
reproduction in whole or in part on any
medium or use of the information
contained herein is prohibited
without the prior written
consent of CEA.
2012
17, rue des Martyrs
F-38054 GRENOBLE Cedex 9
Tel. (+33) 4 38 78 48 20
www.leti.fr
Annual Research Report
2012
Annual Research Report
couv-SSI.indd 1
CEA - Leti - DSIS
CEA Grenoble
Annual Research Report
2012
© CEA 2012. All rights reserved, any
reproduction in whole or in part on any
medium or use of the information
contained herein is prohibited
without the prior written
consent of CEA.
2012
2012
Annual Research Report
17, rue des Martyrs
F-38054 GRENOBLE Cedex 9
Tel. (+33) 4 38 78 45 13
www.leti.fr
Annual Research Report
2012
© CEA 2012. All rights reserved, any
reproduction in whole or in part on any
medium or use of the information
contained herein is prohibited
without the prior written
consent of CEA.
F-91191 Gif sur yvette
Tel. (+33) 1 69 08 49 67
www.list.cea.fr
Silicon
Technologies
and Components
CEA - Leti - DCOS & DTSI
CEA Grenoble
CEA - List
CEA Saclay, Nanolnnov
2012
2012
08/03/13 15:28
17, rue des Martyrs
F-38054 GRENOBLE Cedex 9
Tel. (+33) 4 38 78 37 29
www.leti.fr
Annual Research Report
2012
Annual Research Report
© CEA 2012. All rights reserved, any
reproduction in whole or in part on any
medium or use of the information
contained herein is prohibited
without the prior written
consent of CEA.
Annual Research Report
17, rue des Martyrs
F-38054 GRENOBLE Cedex 9
Tel. (+33) 4 38 78 31 80
www.leti.fr
Annual Research Report
CEA - Leti
CEA Grenoble
CEA - Leti - DTBS
CEA Grenoble
2012
2012
Annual Research Report
Memo
ries
Annual Research Report
2012
Annual Research Report
CEA - Leti - DOPT
CEA Grenoble
Silicon
Technologies
and Components
Silicon
Technologies
and Components
Silicon
Technologies
and Components
Annual Research Report
Business Development
[email protected]
Silicon
Technologies
and Components
couv-OP.indd 1
Simon Deleonibus
Chief Scientist
[email protected]
[email protected]
Head of Optics and Photonics Division (DOPT)
© CEA 2012. All rights reserved, any
reproduction in whole or in part on any
medium or use of the information
contained herein is prohibited
without the prior written
consent of CEA.
Head of « Silicon Technologies » Division
Research Director, Scientific Director - Saclay
[email protected]
[email protected]
17, rue des Martyrs
F-38054 GRENOBLE Cedex 9
Tel. (+33) 4 38 78 51 91
www.leti.fr
Fabrice Geiger
Marc Belleville
Business Development - In vitro Diagnostic
Business Development - Medical Imaging
[email protected]
[email protected]
Marc Duranton
Lighting Business Development Manager
[email protected]
Display & Sensors Program Manager
Jean-René Lequepeys
Head of « Silicon Components » Division
[email protected]
Annual
Research Report
Systems and Solutions Integration
Research Director , digital processing systems & embedded software Program Manager
Patrick Boisseau
Patrick Mottier
Ahmed Jerraya
Microtechnologies for Biology
and Healthcare
Contacts
[email protected]
[email protected]
Silicon Technologies and Components
Sandrine Locatelli
Business Development - Environment Monitoring
Thierry Collette
Head of « Architecture, IC Design & Embedded software » Division
[email protected]
Annual Research
Report
Architecture and IC Design, Embedded Software
Coralie Gallis
Business Development - Health & Wellness
[email protected]
Silicon Technologies and Components
Chief Scientist
Contacts
Raymond Campagnolo
Microtechnologies for Biology and Healthcare
[email protected]
[email protected]
Architecture and IC Design, Embedded Software
François Simoens
InfraRed Imaging Program Manager (Bolometers and THz imaging)
Daniel Vellou
Head of « Microtechnologies for Biology and Healthcare » Division
Annual Research Report [email protected]
Microtechnologies for Biology and Healthcare
Laurent Fulbert
Integrated Photonics Program Manager
[email protected]
Architecture and IC Design, Embedded Software
InfraRed Imaging Program Manager (Cooled detectors)
Silicon Technologies and Components
Gérard Destefanis
Contact
[email protected]
Systems and Solutions Integration
Alexei Tchelnokov
Chief scientist
[email protected]
Annual
Research Report
Optics and Photonics
Contacts
88
07/03/13 09:48
03/06/13 12:05