presentation

Transcription

presentation
Accelerating Manufacturing Productivity
450mm Industry Briefing
Tom Jefferson
450mm Program Manager
December 2, 2009
SEMICON Japan
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Accelerating Manufacturing Productivity
Opening Remarks
Scott Kramer
Vice President of
Manufacturing Technology
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
450mm Rationale
• Wafer size transitions produce cost savings –
historical data supports
• Benefits entire industry through continued growth
• Needed to accelerate productivity gains – realizing
that free and open markets determine timing
• Technical problems will be solved by continuing
innovation across the industry – engineers will
continue to innovate
2 December 2009
3
ISMI Philosophy
• Higher productivity is a must, always
• There are multiple solutions possible
• Accelerate productivity improvement
– Be impatient
– Do not delay or hesitate
– Consider all information and possible
solutions
• Open to all ideas – continuous
review and adjustment of plans
• Best results come from proactive,
2 way communications
2 December 2009
4
In closing…
• ISMI is open to all ideas – continuous review and
adjustment of plans
• There are multiple solutions possible
• Best results come from proactive, 2 way
communications
2 December 2009
5
Accelerating Manufacturing Productivity
Briefing Agenda and 2009 ISMI
450mm Program Overview
Tom Jefferson
ISMI 450mm Program Manager
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
December 2009 Briefing Agenda
•
•
•
•
•
•
450mm Program Overview
Silicon Readiness
Process and Metrology Readiness
Equipment Performance Metrics and Testing Strategies
Factory Integration Readiness
Guideline Updates
– Vacuum Platform
– Equipment Communications
• 450mm ESH Readiness
• Key Messages and Next Steps
• Questions and Discussion
2 December 2009
7
Coordinating Industry Convergence
towards 450 mm
Early
design
2007
Equipment
readiness
Requirements
Guidelines
Equipment
Demonstrations
Early prototypes
Interoperability
Test Bed (ITB)
2010+
Mechanical
Wafer Bank
2008
Test Wafer
Generation
Equipment
prototypes
Test plans
Metrology & process
equipment development
2 December 2009
Single Crystal
Wafer Bank
2009
Equipment
Performance Metrics
(EPMs)
Technology intercept
node defined
25 wafer FOUP
Carrier & loadport
interoperability
8
ISMI 450mm Program Mission and
Organization
ISMI’s 450mm Mission Statement
Enable a cost-effective 450 mm transition through coordination and
development of infrastructure, guidance, and industry readiness
ISMI 450mm Organization
450mm Transition Program
Supplier Engagement
ESH Readiness
Test Wafer Operations
Factory Integration
Readiness
Manufacturing Excellence
Starting Materials
Equipment Metrics and Test Methods
2 December 2009
9
450mm Progress in 2009
Dec-2008
Dec-2009
Wafer
Inventory
Sintered wafers
available for
development
•Mechanical grade single crystal wafers available for development
Process and
Metrology
Equipment
None
•Particle inspection, wafer edge inspection, and particle removal
equipment installed in ISMI cleanroom
•Film thickness equipment now available
•Performance Metrics defined for 60 tool types
•Additional equipment capabilities are under development now
Factory
Integration
Standards
380K handling
cycles and 18K
loadport cycles
completed
•>5M handling robot cycles and >530K loadport open/close cycles
completed with prototype carriers.
Mechanical wafer
standard passed,
Factory integration
standards in blue
ballot
•Test wafer standard in Ballot
•4 EFEM’s, 6 loadports, multiple carriers, and 2 PGVs under test
•FOUP and Loadport standards are completed and passed
Solid Progress – But Many Challenges Remain
2 December 2009
10
ISMI Supplier Acknowledgement
ISMI would like to acknowledge the following companies for
their support and participation in the 450mm Program:
Angstrom Sun
Brooks Automation
CDE
CyberOptics Corp.
Entegris, Inc.
Genmark Automation
Gudeng Precision Industrial
H-Square
Hirata Corp
IDC
MEMC
NanoPhotonics
Nikko
SSEC
Siltronic
Sinfonia
SUMCO
TDK
2 December 2009
11
ISMI Equipment Development and
Demonstration Strategy
Equipment Performance
Metrics (EPMs)
Initial
Wafers
+
Basic Test Wafers
Initial
toolset
Better
Wafers
Advanced Test Wafers
+
-Higher quality
Larger
Equipment
Set
- Higher quantity
- More types
Equipment
Development
Equipment
capable of
meaningful
demonstration
Demonstration Test
Methodology (DTM)
2 December 2009
12
450 mm Global Equipment
Requirements
300mm Carryforward
450 mm
Safety/EHS:
Safety/EHS:
• S2, S8, CE Mark
• ISMI guidelines (Manual
handling risk
characterization, fall
protection, Mass balance
characterization)
• FMRC 4910/UL 2360
Facilities / Utilities:
• Height <12 ft
• SEMI F47
• ≤1.0X Utilities
Consumption, waste
emissions / effluent per
wafer processed
Automation:
Facilities / Utilities:
• E84, GEM300
• ≤1.0X Footprint scalar
(relative to throughput)
• Sub-fab:Fab footprint
<0.75
Productivity:
• No TPT loss due to # of
loadports on tool
• ≤1.0X Install duration
• ≤1.0X Qual duration
450mm will re-use 300 mm guidelines and standards where possible to
leverage learning where existing concepts are proven
2 December 2009
13
Accelerating Manufacturing Productivity
450mm Starting Materials
Readiness
Mike Goldstein (Intel)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Silicon Industry Update
• All major silicon suppliers are engaged the 450mm
program and starting manufacturing developmental test
wafers.
• Crystals’ size is in the 500-700kg range. 40” diameter
crucible are available.
• Wafer processing quality is continuously improving as
suppliers and equipment manufacturers advance on the
learning curve.
• Inspection equipment is coming (slowly) on line.
• Wafer shipping methodology is in development.
• We are engaged with suppliers to close gaps in silicon
manufacturing and inspection line.
2 December 2009
15
Wafer Quality Improvements
• The wafer quality is improving as suppliers and equipment
manufacturers advance on the learning curve and new equipment
become available.
Nano Photonics haze maps reveal
improvement between first and second
polished wafer batch
2 December 2009
Peter Wolters AC 2000-P³
processing 450mm single crystal
wafers
Planetary pad ground
single crystal wafer
16
450mm Wafer CMP Simulations (I)
There have been some concerns about slurry flow and temperature distributions in scaling
the CMP process from 300mm to 450mm wafers.
Araka simulations show that these concerns are unfounded. The slurry thickness, the
heating pattern and the temperature distribution look remarkably similar for these 300mm
and 450mm wafer sizes.
The wafer temperature distribution for 450mm is predicted to be a smooth extension of the
distribution for 300mm.
Temperature Distribution (60 sec)
2 December 2009
Steady State Pad Temperature
17
450mm Wafer CMP Simulations (II)
•
Slurry flow is predicted to be only ~50% higher than that of 300mm wafers
(half of what area scaling predicted)
•
The mean slurry thickness on the pad reaches steady state within a few platen
rotations and slurry flow rate scaling has succeeded in producing nearly the
same mean film thickness (about 20 microns) for all tool sizes.
Slurry Film Mean Thickness Comparison
2 December
22
October 2009
2009
18
SEMI spec
• M74: SPECIFICATION FOR 450 mm DIAMETER
MECHANICAL HANDLING POLISHED WAFERS – was
published in Nov. 08
• Semi draft document 4624A: SPECIFICATION FOR
DEVELOPMENTAL 450 mm DIAMETER POLISHED
SINGLE CRYSTAL SILICON WAFERS – currently in
voting process.
– All wafer specifications agreed upon.
– Discussions are still going on, on the right format for edge drawing
presentation.
2 December 2009
19
19
ISMI Starting Materials Group
• Objective: To establish the 450mm silicon wafers pipeline
through:
– Assessment of technical feasibility, supplier readiness, and
implementation plans for silicon manufacturing and inspection
infrastructure
– SEMI 450mm silicon wafer standards generation
– Validation of 450mm test wafer assumptions
• Group activities include:
–
–
–
–
Wafer specification and purchasing
Wafer bank and loan program management
Wafer inspection
Wafer carriers and shippers evaluation
2 December 2009
20
450mm Wafer Bank Status
450mm Wafer Bank Loans
350
Number of Wafers
300
250
200
150
100
50
M
ar
-0
8
Ap
r- 0
8
M
ay
-0
8
Ju
n08
Ju
l-0
8
Au
g08
Se
p08
O
ct
-0
8
No
v08
De
c08
Ja
n09
Fe
b09
M
ar
-0
9
Ap
r- 0
9
M
ay
-0
9
Ju
n09
Ju
l-0
9
Au
g09
Se
p09
O
ct
-0
9
No
v09
De
c09
0
# of Sint Wafers
# sc-SI Wafers
# Wafers Loaned
~300 wafers, more than half single crystal are currently available for loans
2 December 2009
21
450mm Clean Shipping Methods
450mm HMAC (Horizontal Multi-Application Carrier)
Same envelope
as FOUP
X
Latch key door
opening
Same handling
features as FOUP
Z
Y
Key features to enable
interoperability between
shippers and FOUP
450mm HMACs delivered and testing begun at ISMI
2 December 2009
22
Additional ISMI Wafer Guidance
ISMI Members’
Consensus
n Wafer Edge
Exclusion
Target = 1.5mm
n
o
2 December 2009
o Wafer
Orientation
Feature = Notch
23
Setting expectations
• We are in the process of transition from
mechanical to developmental test wafers, but we
are not there yet.
– Polishing process is improving, however we still can
detect small scratches on the wafers.
– Our next goal is to reduce particle levels.
• Our cleaning tool is being installed and we are starting our
process development.
• Experimental processing of first wafers has been done by two
suppliers
• Working to improve wafer shipping.
– Surface metals metrology is in development.
2 December 2009
24
Looking ahead to 2010
• 450mm 2010 program will include:
– Enable the supply of 450mm developmental silicon wafers to continue
450mm test wafer generation program
– Continue populating the wafer bank with developmental test wafers
– Continue loan wafer program to enable suppliers’ 450mm process and
metrology equipment development
• Benchmark silicon suppliers “state of the art”
• Work with suppliers to improve wafer quality
– Lower quality required for early testing
– Increasing quality as we advance in the process development
• Continuous engagement with wafer inspection OEMs
• Validate wafer specifications in preparation for prime wafer
spec generation
2 December 2009
25
Summary
• We have seen the benefits of the wafer bank and
the suppliers interest in the wafer loan program.
We are continuously increasing the number of
wafers in circulation and improving the shipping
methodology.
• We work with the suppliers to improve the wafer
quality with the focus on metrology and cleaning.
• We have expended our interface with materials
suppliers beyond silicon (E.g., quartzware,
sputtering targets), in support of ISMI process test
wafer generation.
2 December 2009
26
Accelerating Manufacturing Productivity
Process and Metrology
Equipment Readiness
Tom Abell (Intel)
TJ Lim (Samsung)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
ISMI 450mm Test Wafer Generation
Strategy
Motivation
• Few suppliers can generate all of their own test wafer needs.
• Supplier feedback from the 300mm conversion was that I300I’s
coordination of test wafers was very useful.
– Significantly reduced cost and sped development
ISMI Approach
• Coordinate 450mm wafer processing to facilitate supplier
equipment development
• Loan 450mm test wafers for process and metrology equipment
development to suppliers
2 December 2009
28
Test Wafer Generation Stages
Early Test Wafers to assist development of prototype tools
• Key to basic Test Wafers for supplier development
• Tools and processes not expected to be of demonstration maturity
• Virtual processing at suppliers site OK for most processes
Test Wafers to enable supplier development of Demonstration tools
• Sophisticated development-grade Test Wafers are required
• Advanced litho & patterning required for Demo Tool development
• Sufficient quantities of wafers needed for adequate testing and refinement
• Virtual processing at suppliers site OK for some processes but not others
Test Wafers to execute Demonstrations at 32nm technology or beyond
• Very sophisticated test wafers to refine their processes to 32nm or beyond
• Sufficient quantity of wafers are required to execute process testing and reliability marathons with
process stability testing
2 December 2009
29
Early 450mm Test Wafer Generation
- Top Priority Capabilities and Expectations
Particle Detection Metrology
Targets for Early Test Wafer Tools
Film Thickness Metrology
•
Thermal oxide deposition
Wet Cleans
– Lower performance may be
acceptable to satisfy timing and
capability needs
PECVD Oxide
PVD Metal
Desired process performance is
the current 300mm capability or
better
•
Defect densities are a lesser
concern for early TW tools
•
Throughput and reliability are
important, but only to support
the level of TW processing
volume
CD Measurement
•
Automated wafer handling
Dielectric CMP for reclaim
•
Safe to Operate and Maintain
Silicon Nitride
Early Patterning Capability
Dielectric Dry Etch
Dry Strip Asher
= capabilities selected by ISMI to date
= Capabilities being pursued for 2009
2 December 2009
= Capabilities being pursued for 2010
30
450mm Test Wafer Status
• Processing and measurement of test wafers has begun
• 450mm wafers have received wet clean processing and
particle measurements have been made
– Experimental SC1 and DI processes from two different suppliers
– Initial particle measurements appear repeatable
• NanoPhotonics tool calibrated down to 90 nm diameter with PLS
• Dielectric film metrology has passed source inspection and
is ready for shipment
– Manual load spectroscopic ellipsometer with 450mm stage
– Calibrated with known film thicknesses and types (non-450mm)
– Measured native oxide on both 450mm sintered and single crystal
wafers
2 December 2009
31
ISMI Particle & Edge Inspection Tool
- NanoPhotonics and Brooks Automation
First metrology tool
shipped to ISMI
450mm
EFEM
450mm
Metrology
Modules
(particle and
edge
inspection)
450mm
Standard 12mm
pitch FOUP and
loadport
Particle and edge inspection tool is qualified
and has made measurements
2 December 2009
32
ISMI Prototype Wafer Cleans Tool
- SSEC
• First process tool
shipped to ISMI
• Installation has
begun
• Single Wafer
Cleaning
• SC1/SC2 Cleans
Photo Courtesy of Solid State Equipment Corp. (SSEC)
2 December 2009
33
ISMI Dielectric Film Metrology
- Ångstrom Sun
• Spectroscopic
ellipsometer for thin
film measurement
• Tool has completed
final inspection
• Ready for shipment
• Manual loading
Photo Courtesy of Ångstrom Sun Technologies Inc.
2 December 2009
34
Proposals for 450mm Tools
• ISMI is interested in proposals for 450mm tool
development
• Key areas of interest:
– Technical challenges and targets
• Including test wafer requirements
– Commercial challenges
– Potential schedule
• Proposals would be evaluated for:
– Cost-sharing vs. capability
– Schedule vs. length of utility to program
• Prototypes for test wafers through demonstration capable tools
• ISMI has developed a proposal format to assist
2 December 2009
35
Summary
• 450mm Test Wafer processing and measurement has
begun
• Tools at ISMI have been qualified or are in installation
• 6 of 8 capabilities for Phase 2 (wafer cleaning, metrology,
and films) have been selected
– 4 have processed or measured the first wafers
• Phase 3 (patterning module) capabilities are in active
discussion
– 6 of 7 tool types have interest by suppliers
– 3 quotes received
– ISMI is interested in discussing proposals
2 December 2009
36
Next Steps
• If you are interested in:
–
–
–
–
Discussing the overall Test Wafer Generation project
Participating in the generation of test wafers for ISMI
Discussing test wafer types and metrology needs
Providing a 450mm tool proposal
• Please direct inquiries to:
– Tom Abell – 450mm Senior Advisor and Test Wafer
Generation Project Manager
[email protected]
2 December 2009
37
Accelerating Manufacturing Productivity
Equipment Demonstration
Readiness
- Equipment Performance Metrics
- Equipment Demonstration Methods
Kuo-Fu Chien (tsmc)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
450 mm Equipment Performance
Metrics (EPMs)
•
Equipment Performance Metrics (EPMs) provide customer targets for critical
process and manufacturing performance metrics to suppliers as they begin
development of 450 mm equipment.
– Tool demonstration will focus on 32 nm capability from early testing to first
production worthy process and metrology equipment.
– Equipment maturation thereafter must achieve High Volume Manufacturing (HVM)
cost/performance to support production ramps while technology scales to 22 nm
and beyond.
•
ITRS technology requirements were the starting point for EPM development,
and refined with direct inputs from ISMI member company technical review.
•
Supplier inputs were incorporated prior to EPM publications through a series of
3 workshops attended by >80 participants from ~30 OEMs.
•
EPMs have been defined for 60 tool types in the areas of equipment
parameters, process targets, process characteristics, and manufacturing /
performance targets, and are publically available at the ISMI website.
2 December 2009
39
How to View the EPM Requirements
• Each metric is listed by attribute with units and targets for
32 nm and 22nm.
• Suppliers should target 32 nm capabilities for the 2010-11
demonstration period and plan for extension to 22 nm
capability for device maker pilot lines targeted for 2012.
Manufacturing metrics are expectations for HVM.
• It is recognized that, initially, tools will not be as capable
with regard to either process or productivity goals as the
metrics presented in this document, and may not comply
with all guidelines and standards.
• 450 mm metrics categorized in one-page format by tool
type, total 60 tools EPM documentation has been
published (6/30/2009) on SEMATECH/ISMI web site.
2 December 2009
40
450 mm Metrics Format
• 450 mm metrics are organized in one-page format by
tool type, in the following categories
Metrics
Category
Example Metrics
Equipment
parameters
Reticle specifications, CMP Pad conditioning, endpoint detection
Process Targets
CD control, Coating uniformity, films resistivity
Process
Characteristics
CD, Film shrinkage %, Film stress
Defect-PWP
Bare silicon, backside, in film
Manufacturing
Targets
Throughput, Availability, MTBI, MTTR
2 December 2009
41
450mm EPM Tool List
EPMs are completed for 60 450mm Process and Metrology
Equipment, organized into 11 tool groups
Lithography (4 types)
Dry Etch (8 types)
Doping (3 types)
Exposure 193 nm Critical levels
Dielectric; Poly Active Area - STI Trench
Ion Implantation High Energy Deep Wells
Exposure 193 nm Immersion Critical
levels
Dielectric (1) Gate - Nitride Spacer
Ion Implantation Medium Current Gate Extensions
Exposure 248 nm Non-critical levels
Dielectric (2) Contact
Implant / Plasma Immersion Low Energy / High
Current Source / Drain
Track Coat/develop All levels
Dielectric (3) Via / Damascene Trench
Poly Gate - Polysilicon / ARC
PECVD (HPCVD or HARP) (3) Low k Dielectric
PECVD (HPCVD or HARP) (4) Contact - Nitride
Etch Stop & Liner
Thermal Process (10 types)
Metal CVD (1) Contact – Tungsten Plug
Anneal Vertical Furnace (1) Densification
Metal CVD (2) Contact – Ti / TiN Barrier for W
Plug
Metal CVD (3) TaN / Ta Barrier for Cu Metal
layers
Metal CVD (4) TiN Metal Hard Mask for
Damascene Etch
ALD (1) Dielectric
Metrology (5 types)
Metal (1) Gate - Metal Electrode
Oxidation Vertical Furnace (1) Active area- Field Oxide
Bare Wafer Particle
Metal (2) Metal Hard Mask for Damascene
Oxidation Vertical Furnace (2) Rounding oxidation
Film Thickness
Bevel Edge Clean Etch
CD
CVD (12 types)
PECVD (HPCVD or HARP) (1) Active Area - STI
Fill - Undoped Ox
PECVD (HPCVD or HARP) (2) SiN, SiCN
Barrier / Etch Stop / Cap
Oxidation Vertical Furnace (3) N-well Sacrificial Oxide
Overlay
Dry Strip (2 types)
Anneal Vertical Furnace (2) Low Temp Anneal
ALD (2) High k Dielectric
Patterned Defect
Active Area
Source/Drain Implant
Gate Electrode, Silicide, Metal Hard Mask
Nitride LPCVD Gate Spacer
Poly LPCVD Gate Electrode
Rapid Thermal Anneal (1) Silicide
ALD (3) Tungsten
Epitaxy SiGe
Rapid Thermal Annea (2) Source / Drain Anneal
PVD (6 types)
Millisecond Anneal Source / Drain Anneal
PVD-Metal (1) Gate Metal
CMP (3 types)
Dielectric Contact - Planarize PSG
PVD-Metal (2) Silicide Metal
Metal (1) Contact – Tungsten Plug Polish
Wet Process (5 types)
Metal (2) Damascene - Copper Polish
Wet Etch Wet Nitride Active-area- Oxy-Nitride Strip
Wet Clean (1) Particle Removal
Electrochemical Plating
Wet Clean (2) Post-Ash
Electrochemical Plating Copper Damascene
Fill
Wet Clean (3) Backside Clean
PVD-Metal (3) Cu Seed
Reactive Sputter (1) Contact – Ti / TiN Barrier for
W Plug
Reactive Sputter (2) TaN / Ta Barrier for Cu
Metal Layers
Reactive Sputter (3) TiN Metal Hard Mask for
Damascene Etch
Wet Clean Solvent Clean
2 December 2009
42
EPMs - Example
Example:5.1 Dry Etch Dielectric, Poly - Active Area / STI
Attribute
Auto End-point Detection
Equipment
Parameters
Process
Targets
Units
-
Metrics (32 nm)
Required
Metrics (22 nm)
Required
Option
Option
-
Required
Required
nm
nm
Deg
323
40
>88.2
309
32
>88.7
nm
< 1.5
<1
Selectivity to
-
> TBD , each company
input selectivity
requirements w.r.t
materials chosen
> TBD, each company
input selectivity
requirements
w.r.t materials
chosen
Loading Effect
%
<5
<5
#/cm2
To be measured
None
< 0.0084
To be measured
None
< 0.0060
in-situ Bevel Clean
Capability
In-situ Chamber Clean
Capability
STI Depth Bulk
STI Width at top
STI Sidewall angle
Total Variability 3 σ – all
sources
Process
Characteristics
Charge Damage
Residue after etch
On bare Si > 30 nm
Defects, PWP @ 1.5
mm edge
exclusion
Manufacturing Targets
(@ High Volume
Manufacturing Phase)
2 December 2009
Backside on Si
#/cm2
< 0.28 @ > 75 nm
< 0.28 @ > 50 nm
Availability
MTBF
MTTR
%
hour
hour
95
> 500
<4
95
> 500
<2
To be measured
To be measured
MTB/T Clean
Notes
Need better solution to
eliminate bevel polymer
contamination
Criteria of ITRS
Criteria of ITRS
Criteria of ITRS
Etch rate difference l/s to
iso
Need to find better
solution for e-chuck to
eliminate need for
post-etch backside clean
Will depend on process
chemistry
43
Accelerating Manufacturing Productivity
Equipment Demonstration Methods
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Objective of Consortium Equipment
Demonstrations
• Perform comprehensive 450mm Equipment
demonstration testing to assess equipment
readiness vs. ISMI Equipment Performance
Metrics (EPMs)
• Collect and provide critical process and
manufacturing performance data which member
companies will use as input in their 450mm
equipment selection decisions
2 December 2009
45
450mm Tool Demonstration Process
Flow
Tool list & Process
requirements
Tool attributes &
Performance metrics
Project Initiation &
Demo test method
Form project core team
& performance requirement
Workshop
Supplier survey
Demo Test Plan
Workshops
Demo Tools Development
ITRS, ISMI member survey
Contract/SOW negotiation
Demo tools select
Tool Improvement Plan
Equipment Maturity Assessment
Review &
Consult with supplier
Tool Demo
No
Completed
Tool Evaluation
Result good?
2 December 2009
Tool evaluation report
In Progress
Next Steps
46
Equipment Demo Readiness Strategy
• Use ISMI 450mm Equipment Performance Metrics of 60 tools
– As requirements and guidelines for 450mm manufacture and
metrology tool development
• Use an updated version of the I300I Equipment Maturity
Assessment Document
– Collaborate with equipment suppliers through workshops for 450mm
equipment qualification
– All tools have to meet “Basic 450mm Equipment Qualify” prior to
acceptance for Consortium Demo Line tests
• Form 450 mm Equipment Demonstration Test Method
– 3 “Levels" of Equipment Demonstration Testing
•
Suppliers will be responsible to develop tools to meet EPM requirements
and demonstrate Level 1 test
– Level 1 test focus on basic system and process qualification
•
Consortia demonstration line will responsible for
– Level 2 testing focus on 32 nm process characterization
– Level 3 testing will include process stability and repeatability marathon
2 December 2009
47
Demonstration Strategy - Summary
Method/Approach
DTM
Data Collection
DTM/EMA
Fo
un
da
ti
Fo
u
What’s Important
User, Working, &
Advisory Groups
on
s
at
d
n
i
s
on
Supplier Input
Checklists
Test
Planning
Tests
Checklists
Known or
Existing Data
Reported
Results
Assessments
Results
Reports
Evaluations
Checklists
1. 32 nm EPMs
2. Standards
3. Guidelines
Feedback
DTM – Demonstration Test Method
EPM – Equip. Performance Metrics
Expected Results
2 December 2009
48
450mm Test Strategy – EMA and DTM
Approach
Phase
1
EMA
Measurable
Process
Phase
2
Phase
3
Repeatable
Process
Stable
Process
Test Level Classification by Consortia
Level
1
DTM
Gauge Study,
Passive Data
Collection
Level
2
Level 1 +
Mech. Dry Cycling,
Sensitivity Analysis
Level
3
(Demo Data)
(HVM)
Expected
Results
Expected
Results
1. 32 nm EPMs
2. Standards
3. Guidelines
1. ≤ 22 nm EPMs
2. Standards
3. Guidelines
Level 2 +
Marathon Testing
32 nm EPMs (scaled to maturity)
Equipment suppliers
validate basic
functionality
Consortium validates Repeatability and
Stability of Equipment
(with Consortium Monitoring)
EMA: Equipment Maturity Assessment
DTM = Demonstration Test Methodology
Results of an EMA will define the appropriate level of testing
2 December 2009
49
Equipment Maturity Assessment (EMA)
Scope
Note: Initial Scope Only – Final List may Change
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
Design Specification
Measurable Process Results
Safe Operation
Material Handling Functions
Reproducible Process Results
Automated Process Recipe
Remote Functions (Support
Equipment)
Equipment Footprint
Support Module Footprint
Facilities Layout
Continuous Processing
Equipment Functionality
Automated Operation
Error Handling/Recovery
15. Informal Design for Maintainability
(DFM)
16. Ease of Operator Use & Software
Usability (SU)
17. Process and System Stability
18. Engineering Documentation
19. Engineering Change Control
20. Software Revision & Release Control
(SRC)
21. Environmental Impact Analysis
22. Standards & Guideline Conformance
23. Documentation
24. Wafer Process Characterization
25. Manufacturing Performance
26. Design for Maintainability (DFM)
27. Environmental Impact Study
Legend: Green = ESH related
2 December 2009
Purple = Process related
50
Demonstration Test Levels
Level 3
Level 2
Test Plan
Gauge Study
Test Plan
Level 1
Test Plan
Gauge Study
Process
Characterization
Gauge Study
Mechanical
Dry Cycle
Mechanical
Dry Cycle
Process
Characterization
Process
Characterization
Review
Sensitivity
Analysis
Sensitivity
Analysis
Sensitivity
Analysis
Marathon Test
Reports
Reports
Reports
Resources:
Resources:
Resources:
• Supplier development team
• Silicon - limited quantity
• Consortium Demo
• Member experts
• Silicon - limited quantity
• Extensive manpower
• Silicon - significant quantities
Results:
• Manufacturing demonstration
• Evaluate system stability & reliability
Results:
• Investigate tool readiness
• Evaluate basic mechanical
• Baseline Process characterization
2 December 2009
• 32nm Process characterization
• Evaluate system stability &
reliability
Results:
51
450mm Equipment Demo Summary
The 450mm Demonstration Test Method applies to all types of fab
manufacturing equipment and metrology tools.
• Demo Performance
– Scaling of metrics will be based upon equipment maturity.
– Suppliers will be allowed to analyze and comment on the data
and results before publication.
• Demo Location and Timeline
– The tool development and demonstration phase, currently
targeted from 2010 through 2012, will focus on 32 nm capability.
– Location to be determined by the most feasible equipment demo
location. A centralized facility offers some logistical advantages.
• Demo Cooperation
– ISMI can also coordinate activities between suppliers to facilitate
development in some cases.
2 December 2009
52
Next Steps
• ISMI will publish initial 450 mm EMA and DTM
documents
• ISMI will hold additional supplier workshops to
collect supplier inputs to 450mm EMA and DTM
processes, prior to finalizing our approach
• ISMI is interested in individual discussions
towards one-on-one tool demo agreements in
2010
2 December 2009
53
Accelerating Manufacturing Productivity
Factory Integration
Readiness
Eddy Bass (Intel)
Sung-Wook Park (Samsung)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Interoperability Test Bed (ITB)
Objectives
• Engage with suppliers for feedback and joint development
for faster learning cycles
• Lead/facilitate rapid development of factory integration
elements through demonstration and testing of equipment
• Feedback technical data and information into the semi
standards and guideline development process
• Assess conformance of equipment to SEMI Standards and
ISMI guidelines
• Measure equipment performance against targets
• Assess interoperability of factory integration elements
2 December 2009
55
Interoperability Test Bed (ITB)
- Mission Accomplished
2008
User Group
Established
and Metrics
Developed
2009
First
Prototype
FOUPs
Robot, and
Loadport
Installed
Rev 1 and 2
FOUPs and
EFEMs
tested with
3 types of
loadports
Approved
Standard
FOUPs and
Loadports
with EFEMs
Tested
2010
Rev3
FOUPs, Rev
2 EFEMs
and
2 loadports
Tested
Test bed
Sunsets for
FOUPs,
LPsProduction
Versions
Testing at
Supplier
sites
Time line
SEMICON
West 2008
SEMICON
Japan 2008
FOUP
and
Loadport
Blue
Ballots
2 December 2009
SEMICON
West 2009
FOUP
and
Loadport
Yellow
Ballots
SEMICON
Japan 2009
FOUP
and
Loadport
Final
Ballots
56
Progress and Future Focus –
ITB Goes Clean
Q4 2009
Standards Demonstrations
Phase
H1 2010
Standards Demonstrations
Phase
Testing:
• 12mm pitch
FOUPs from
two suppliers
• 12mm pitch
loadports
from 4
suppliers
• Early H-MAC
and shipping
testing
2 December 2009
Provided
key inputs
to confirm
standards
2009
SEMICON
Japan
• Standard
compliance
and
interoperability
testing of
Final revisions
production
of carriers and
level
loadports
components
developed for
testing
in a cleanroom
57
450mm Standard 12mm Pitch FOUPs
and Blue Ballot H-MAC
• Three Rev 3 FOUPs from two suppliers received for use
and testing in H2 2009 Cleanroom Phase
• Three Rev 0 H-MAC received from one supplier
•Same envelope and interface features as FOUP
Gudeng Rev 3 (12mm) YB FOUPs
Entegris Rev 3 (12mm) FOUP
• New 12 mm Pitch 4570B compliant FOUPs
2 December 2009
Entegris Rev 0 (12mm) H-MAC
• New 12 mm Pitch 4770
Blue Ballot compliant HMAC
58
Gudeng Rev3 12mm pitch
Standard FOUP
Envelop Dimensions
402 mm (≤ 404 mm)
481.9 mm (≤ 481.75 mm)
Top
Right Side
Rear
Bottom
Front
12 mm (12 mm)
555 mm (≤ 555 mm)
• (12mm Pitch Standard
Dimensions)
2 December 2009
59
Entegris Rev3 12mm
FOUP Envelope
Dimensions
481.6 mm (≤ 481.75 mm)
403 mm (≤ 404 mm)
Right Side
Top
Rear
Front
12 mm (12 mm)
Bottom
555 mm (≤ 555 mm)
(12mm Pitch Standard
Dimensions)
2 December 2009
60
Entegris Rev0 12mm
H-MAC
Envelop Dimensions
480.5 mm (≤ 481.75 mm)
402.4 mm (≤ 404 mm)
Right Side
Top
Rear
Front
12 mm (12 mm)
52.3 mm (≤ 52.25 mm)
Door
2 December 2009
Bottom
555.1 mm (≤ 555 mm)
(12mm Pitch Standard
Dimensions)
61
450mm HMAC Loading Sequence
HMAC w/Wafers
Bottom Palette
Bottom Cushion
HMAC in Cushion
External Box
Top Cushion
Top Cover
3 Straps
On Palette Jack
2 December 2009
Simple ergonomic loading with secondary packaging
62
Standard Loadport Dimensions
323
316
316
316.2
(+20/-0)
622
600
630
<638
FP
569
572
570
<573.75
LB
590
590
590
590+1
EB
1335
1335
1335
1335 +0.2
40
40
40
40
HP
913
913
913
913
(+10 Adj)
>100
>100
>100
100
• Latchkey loadports tested to date comply with Standard
dimensions
2 December 2009
GREEN=TDK 12mm pitch
BLUE = Brooks 12mm pitch
ORANGE = Sinfonia 12mm pitch
RED = Loadport Standard Dims
63
Brooks 450mm Prototype 12mm Pitch
Rotary Latch-Key Loadport
• 12mm Pitch rotary latch-key
loadport
• Standard loadport interface to
12mm pitch FOUP
Latch-key
12mm FOUP
2 December 2009
64
Sinfonia 450mm Prototype 12mm Pitch
Rotary Latch-Key Loadport
• 12mm Pitch rotary latch-key
loadport
• Standard loadport interface to
12mm pitch FOUP
Latch-key
12mm FOUP
2 December 2009
65
TDK 450mm Prototype 12mm Pitch
Rotary Latch-Key Loadport
• 12mm Pitch rotary latch-key
loadport
• Standard loadport interface to
12mm pitch FOUP
Latch-key
12mm FOUP
2 December 2009
66
Standard Loadport Testing Status
Metric
Description
TDK
12mm
Latch
Key
Sinfonia
12mm
Latch
Key
A
RFID
Not Tested
Not Tested
Not Tested
B
Carrier Purging
Not Tested
Not Tested
Not Tested
C
BOLTS
Pass
Pass
Pass
D
FIMS Door
Pass
Pass
Pass
E
Maintenance
Access
Pass
Pass
Pass
F
Loadport
Dimensions
Pass
Pass
Pass
G
Cycle Time
Pass
Pass
Pass
H
Time to first wafer
TBD
No EFEM
No EFEM
I
FOUP Clamping
Force
TBD
TBD
TBD
J
Door closure Force
TBD
TBD
TBD
K
Resistance to
ground
Improveme
nt Planned
Pass
Pass
L
Reliability
Cycling now
Cycling now
Cycling now
2 December 2009
100
90
Loadport Cycles
(k cycles)
Metri
c
Brooks
12mm
Latch
Key
450 mm Equipment Loadport
12mm Pitch Std Compliant
Cycles 11/23/2009
80
Gudeng 12mm FOUP
70
Entegris 12mm FOUP
60
12
50
40
30
54
20
12
10
16
20
Brooks Automation
(12mm Pitch LatchKey)
TDK (12mm Pitch
Latch-Key)
0
Sinfonia (12mm Pitch
Latch-Key)
Loadport Type
• >102 k total cycles to
date of New Standard
Loadports with FOUPs
at 12 mm pitch
67
PGVs from two suppliers under test
IDC
Gudeng
• Different PGV
operation, but
effective means of
FOUP manual
handling
• PGVs use new
FOUP side flange
for handling
• PGVs built to the ISMI
450mm Guidelines
have shown to be
important tool to ITB
and Cleanroom
operations
2 December 2009
68
Summary
•
Interoperability Test Bed transitioned to cleanroom area for next phase of
evaluations
– Integrated EFEM with 12mm pitch loadport and metro modules (edge and particle
inspection)
– Provided early 12mm pitch data for Fall (Nov) SEMI meetings
•
Robotic handling tests using 12mm pitch carriers and loadports are in progress.
•
Loadport testing going well with 3 suppliers – accumulating cycles with 12mm
pitch FOUPs
– No latching problems observed from door opening tests (with >102k cycles) to date
•
PGVs being used in ITB lab and cleanroom operations – more to come as
cleanroom operations increase into 2010
2 December 2009
69
Accelerating Manufacturing Productivity
450mm Manufacturing
Excellence
- Platform Guidelines
- Equipment Software Guidelines
Mao-Chih Huang (tsmc)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Platform Guidelines - Motivation
• ISMI’s 450mm interested member companies are pursuing
options to enable cost effective 450mm development
• Equipment platform development is one of the options to
bridge the realization of 450mm equipment development
with collaborative integration from the industry
• 450mm platform interface standardization is a common
focus and interest of the interested device makers for cost
effective 450mm development
• ISMI is co-working with the industry on 450mm platform
development to accelerate 450mm realization
2 December 2009
71
Definition of “Platform”
“Platform” is the module system includes :
–
–
–
–
The connection interface with EFEM
The connection interface with process modules
Wafer transfer chamber and transfer robot
Transfer, operational model and interoperability with process
modules
PM
EFEM
PM
PM
2 December 2009
Note: ‘Hexagon’
Hexagon’ shaped platform shown for reference
only; shape/architecture of 450mm platforms should
not necessarily be limited to be “hexagon like”
like” or
“radial like”
like” architectures
72
450mm Platform Guidelines
Process Requirement
450mm Platform Guidelines
EQP Suppliers
Productivity, Quality and Cost perspective
System Design Challenge
Platform Suppliers
IC Makers
Completed 450 platform guidelines require
collaborative discussion from three perspectives
2 December 2009
73
450mm Platform Guidelines Scope
•
Commonality and
Integration
Process and Wafer
Quality
Dimension and
Size
Throughput
450mm Platform Guidelines are statements that aim to provide direction for
450mm EQP platform development
– Guidelines will require collaborative discussion between users and suppliers to
support cost effective development of 450mm equipment
•
Processing Scope
– CVD/PVD/ETCH/Ash/RTP and vacuum metrology for early process qualification
•
A preliminary set of platform guidelines is currently under review with
interested suppliers in a series of workshops
– Initial workshop completed 10/09
2 December 2009
74
450mm Platform Guidelines
• Dimension and Size Constraint
Mi
ni
mi
ze
d
– Fundamental design concept of 450mm platform shall be based on best
known learning of 300mm platform
– Design of 450mm platform shall support to minimize the entire footprint of
equipment. Optimized design for maintenance space shall be considered
– Design of 450mm platform shall support to optimize the space utilization of
sub-FAB
The footprint requirements
will be aligned to global
footprint requirements
defined in ISMI 450mm EQ
Performance Metrics
Minimized
M
i
ni
m
ize
d
300mm
2 December 2009
Minimized
450mm
75
450mm Platform Guidelines
• Throughput – Equivalency with 300mm
– Wafer handling performance shall be equivalent to 300mm
– The operation model of 450mm platform shall support continuous
wafer processing by optimizing performance of wafer transfer and
interface crossover operations
– The design of the 450mm platform shall support cost effective and
flexible equipment capacity increment
Process
Module
Platform
Process
Module
Platform
1. 300mm ≤ 450mm
2. Continuous and Seamless Operation
2 December 2009
Process
Module
Platform
Flexible Capacity Increments
76
450mm Platform Guidelines
• Throughput – Operational Efficiency and Flexibility
– Allow flexible wafer transfer and scheduling capability among
multiple different process modules to :
• Realize the uninterrupted operation (GL#9 of ISMI 19 points GL)
• Support dynamic transfer route change based on factory needs (ex:
external inputs from factory systems)
Running
Chamber
#9 of ISMI unified 19 guidelines
Running
Chamber
Guideline #9: Equipment must support
simultaneous operation and maintenance
activities in a safe manner
Rapid chamber swapping
requires careful risk assessment
to meet the safety guidance
defined in S2
2 December 2009
PM
Down
Good
Chamber
Uninterrupted Chamber swap in a safe manner
77
450mm Platform Guidelines
• Process and Wafer Quality Control
– Support particle free and contamination isolation for not to impact
wafer process quality
– Steady pressure control and balance in the platform
– Reliable capability to secure wafer positioning, alignment and
presence in all wafer transport routes
– The best learning of design in buffer stage of pre and post process
treatment need to be carried forward to 450mm
Optimized design of pre and post stage
is required based on
different process requirements
Contamination isolation
Steady pressure control
Reliable wafer handling
2 December 2009
78
450mm Platform Guidelines
• Commonality and Integration – Mechanical/Electrical/Software
– Support well designed interface for easy installation and
connection to process modules and facility utilities
– Support cost effective programmable and configurable software
interface for cross systems integration
– Interoperability need to be considered for cost effective EQP
integration among different process modules
Entire EQP
Process
Modules
Transfer
Chamber
Factory
Systems
Standardized
Interfaces
Expand standardized interfaces to enable increased interoperability opportunities
2 December 2009
79
450mm Equipment Software
Guidelines - Motivation
•
Wafer manufacturing complexity will continue to increase
– Driven by technology scaling
– Equipment software capabilities may be one opportunity to support 450mm
manufacturing with high process quality and equivalent (& better)
productivity performance than 300mm
•
450mm Equipment Performance Metrics and Global Equipment
Scalars have been defined, but it is not clear how all challenges will be
addressed
– Enhanced equipment software capabilities may offer solutions
– Equipment that can comply with EPMs and Scalars may have a
competitive advantage
•
Cost effective 450mm development: Initial early industrial discussion
on 450mm equipment software capability to save suppliers R&D cost
on equipment development
2 December 2009
80
450mm Equipment Software
Guidelines
•
Goal:
– To provide guidance of 450mm equipment software capability for equipment
suppliers to develop 450mm equipment
•
Scope:
– All process/metrology equipments software are covered in the guidelines
•
Approach:
– To enhance and add detail to the existing ISMI 19 point guidelines based on
inputs from ISMI 450mm member companies
•
Overview:
– Key focus of 450mm equipment software capability should be to support
defined scalar target of EPM
• Cost Effective and Reliable Real Time Data Access Capability
• Equipment Availability and Productivity Improvement
– At a minimum, 450mm equipment software requirements shall be equivalent
to last generation of 300mm capabilities
2 December 2009
81
450mm Priority of EQ Software Related
Guidelines
based on ISMI 19 pts Guidelines #8, 12, 15, 16, 17, 18, and 19
Guideline #18: Equipment shall be capable of providing (near) real-time data of
high quality and integrity to enable external monitoring of equipment status such
as process conditions, health, and reliability.
H
Guideline #17: There shall be a Single Point of Control for factory system command
and control of equipment with standard messages and state models. All other data
and file communication shall be handled via standardized supplemental
communications port(s).
Guideline #8: Equipment shall be designed to minimize unscheduled downtime
through fundamental improvements and information-based predictive performance.
Guideline #19: Equipment shall be capable of material carrier slot integrity or
redirecting material to any carrier/slot available to the equipment.
M
Guideline #15: Material loading/unloading, factory system command execution and
data collection shall not limit the equipment’s ability to process material
continuously. To support requirements for some operational models, material
handling systems will be required to have deterministic delivery times.
Guideline #16: Equipment shall be flexible enough to execute instructions and
changes requested by the factory system down to the individual wafer and recipe
parameter level at any time before or during a processing cycle.
L
2 December 2009
Guideline #12: Equipment shall be designed to eliminate waiting time between
physical lot delivery and first wafer processing. This includes recipe-to-recipe
delay, lot-to-lot change-over or job preparation time, cleaning, and conditioning
requirements that prevent seamless cascading of lots with different processing
requirements.
82
Key ISMI 450mm EQ Software Guidelines
-#8 Equipment shall be designed to
minimize unscheduled downtime
through fundamental improvements
and information-based predictive
performance.
-Equipment software design shall
support to minimize unscheduled
downtime .Cost effective
applications are required to manage
equipment health and performance
-#17 There shall be a Single Point of
Control for factory system command
and control of equipment with
standard messages and state
models. All other data and file
communication shall be handled via
standardized supplemental
communications port(s).
-Equipment software shall provide
cost effective communication
supplemental ports, if the port(s)
isn’t standardized
-Equipment software shall consider
security management features to
protect key recipe and equipment
configuration
2 December 2009
MES
Factory
Systems
-#18 Equipment shall be capable of
providing (near) real-time data of
high quality and integrity to enable
external monitoring of equipment
status such as process conditions,
health, and reliability.
-Equipment software shall support
cost effective programmable or
configurable interfaces for easy
integration with factory systems
-Equipment software shall support
standardized inter/intra tool data
communication approach
-# 19 Equipment shall be capable of
material carrier slot integrity or
redirecting material to any
carrier/slot available to the
equipment.
-High throughput equipment shall
support dynamic wafer redirecting
capability and support to release idle
empty FOUP to AMHS systems
83
Next Steps
• 450mm Platform Development
– Industrial collaboration for 450mm platform
development
(Now -> 2011)
• Host industrial collaborative meetings to identify scope of work
for potential 450mm platform interface standardization
(Feb/M 2010)
• Test 450mm platform solution to prove the concept of interface
standardization (Mechanical/Electrical/Software)
(Q2 2011)
• 450mm EQ Software Guidelines
– Collect more inputs from the industry and develop detail
guidelines
(Q2 2010)
2 December 2009
84
Accelerating Manufacturing Productivity
450mm EHS Readiness
James Beasley (ISMI)
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
450mm Resource Conservation Vision:
No per wafer increase in Energy and Water use,
Air Emissions, or Waste
450mm presents a unique
opportunity to achieve a 55%
reduction in resource use per CM2
300mm
Data from the 200 – 300 mm
conversion tells us significant
improvements can be achieved
Realizing this vision requires
close cooperation between
suppliers and end users
450mm
450mm Wafer = 2.25X
surface area
45%
300 mm
n/CM2
2 December 2009
450 mm
n/CM2
86
200-300mm Improvement: Tool Data
Estimated 300mm consumption relative to 200mm
(per cm2 silicon processed)
200mm baseline
Normalized
performance
100
80
60%
52%
60
Volatile Organic
Compounds
45%
58%
53%
Perfluorocarbons
Hazardous Air Pollutants
40
Ultra-pure water
Electricity
20
2008 Vendor supplied data
0
2 December 2009
87
ISMI 450mm ESH Success Criteria
Equip. Phase
TW Gen
Equip. Demos
Tools at IDMs
Comments
Requirement
Provide before
delivery / start-up
ISMI Safety Checklist
Complete at
receiving site
Equipment Sign Off
Combustible Materials
Report
Provide before
delivery / start-up
Environmental
Characterization (Air, Water,
Waste etc)
Complete during
demo phase; final
before production
Third Party SEMI S2 / S8
Report
Provide before
delivery / start-up
SEMI S23 Total Energy
Report
Complete during
demo phase
Measured Utility
Requirements
Provide before
delivery / start-up
Seismic Design Criteria
Provide before
delivery / start-up
Required at this Equipment Phase
ESH Success Criteria establish general ESH
requirements for all 450mm Equipment
2 December 2009
ISMI Confidential
88
ISMI 450mm ESH Resources
Resource
Purpose
450mm Equipment ESH Checklist
Safety guidance for early prototype 450mm
process and metrology equipment.
ISMI Guideline for Environmental
Characterization of Semiconductor
Process Equipment
Characterizes equipment environmental
performance using a common method
ISMI S23 Application Guide and Total Characterize and report equipment energy
Equivalent Energy (TEE) Conversion and utility consumption using a common
Tool
method
Combustible Materials Checklist
Characterize and report the volume of
combustible materials (i.e., plastics) involved
in equipment construction
Manual Material Handling Application
Guide for SEMI S8
Provides detailed instructions for manual
material handling techniques required as part
of an SEMI S8 Ergonomic assessment
Available on ISMI Public Website: http://ismi.sematech.org/wafersize/index.htm
2 December 2009
89
Equipment ESH Checklist
• Checklist developed by ISMI to assist in the safe
design and review of early prototype 450mm
process and metrology equipment.
• The checklist is not a substitute for SEMI S2,
SEMI S8 or other safety requirements for 450mm
development and production equipment.
• All equipment is subject to
Equipment Sign Off (ESO) safety
review prior to start-up and operation.
http://ismi.sematech.org/docubase/document/ISMI+450mm+ESH+Checklist.pdf
2 December 2009
90
ISMI Environmental Characterization
Guideline
The ISMI Guideline for Environmental Characterization of
Semiconductor Process Equipment is the starting point for
accurate characterization of Air Emissions, Water use, and
Waste for all 450m Equipment
SEMATECH DOC ID #: 06124825A-ENG (Public)
Equipment suppliers have
made significant progress
towards reduced air
emissions and waste –>
Apply 300mm “lessons
learned” to 450mm
Source
H2O
450mm
Tool
Low
UPW concentration
High
concentration
ISMI’s Environmental Characterization Guideline has been revised to
address emerging regulatory requirements and concerns
2 December 2009
91
ISMI Manual Material Handling
Application Guide for SEMI S8
• Provides detailed instructions for various manual
material handling (MMH) assessment techniques
required as part of an SEMI S8 Ergonomic
assessment
• Manual Material Handling analysis should be used to
determine the acceptability of supplemental lifting aids
or two-person lifts
¾ Avoid awkward postures or extended reaching
¾ Consider larger, heavier tool components
¾ FOUP weight with 25 wafers ~ 24 kg
2 December 2009
92
ESH Strategies for 450mm Equipment
Types
• ISMI’s 450mm ESH team is working to identify and align
appropriate ESH Strategies for each 450mm equipment
type
– Equipment Types are defined by the 450mm EPM Activity
• Example Strategies:
– Reduce water consumption Æ Active drain segregation on wet
cleaning tools
– Reduce air emissions Æ Remote Plasma Clean, development of
lower-GWP etch processes
– Energy conservation Æ Identify and enable idle mode opportunities
with mainframe and support equipment
C
Accurate characterization of all
environmental impacts, using ISMI
Guidelines, is a starting point;
collaboration will lead to success!
E l e c t r ic a l P o w e r M o d u l a t i o n
I n a S l e e p / Id le S t a t e
ha
m
P o be
w er H
r ea
A lr e a d y o ff d u r in g id le
t
RF er
w
P op
um
p
bo
er
r
Tu Pow
N o t F e a s ib l e
P ro ce s s
Im p a c t
F e a s ib l e
L it tl e to N o
P ro ce s s
Im p a c t
Co
m
pr
es
Po
r
so
r
we
m
Pu
c
r
Va we
Po
p
m
Pu
c
r
Va we
Po
p
Bl
P o ow
w er
er
R
P o e c ir
W
c
w
er P u
P o ast
m
e
w
p
er Pu
m
p
II S
al
SM
M II C
C oo nn fi
f idd ee nn ttiia
2 December 2009
93
Summary – 450mm ESH Strategy
• Environmental performance targets for 450mm
equipment are aggressive – ISMI will engage with
the supply chain to work together to find solutions
• Cooperation and building on 300mm “lessons
learned” will lead to a step-function improvement
of environmental performance at 450mm
2 December 2009
94
Accelerating Manufacturing Productivity
Key Messages and Next Steps
Copyright ©2009
SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks of SEMATECH, Inc. International SEMATECH Manufacturing Initiative, ISMI, Advanced Materials Research Center
and AMRC are servicemarks of SEMATECH, Inc. All other servicemarks and trademarks are the property of their respective owners.
Key Messages - ISMI 450mm
Program (December 2009)
•
ISMI maintains its focus on planning a cost-effective 450mm transition, and wil
continue to drive the activities required to support the needs of its members who are
interested in realizing the 450mm transition.
•
450mm Test Wafer Generation Activity has started
– ISMI’s first two 450mm metrology tools are installed and operational; installation of the
first process equipment has begun.
•
ISMI has defined the performance targets and test methods for execution of 450mm
equipment demonstrations.
– ISMI member companies have clearly stated that they will use consortia demonstration
results as input to their 450mm equipment selection decisions.
•
ISMI’s Interoperability Test Bed (ITB) has achieved its objective of enabling the
evaluation of early prototypes to accelerate the development of Factory Integration
Standards.
•
Initial progress has been made - but many challenges remain to realize a
comprehensive 450mm infrastructure at advanced technology nodes.
– ISMI will continue to engage constructively with interested suppliers and device makers
to develop and validate solutions.
2 December 2009
96
Next Steps
Looking ahead to 2010, ISMI’s 450mm Program will:
– Increase the scope of test wafer and metrology
capabilities
– Complete, and begin application of, the Demonstration
Test Methodology for 450mm equipment
– Evaluate 450mm AMHS and platform solutions
– Expand ISMI Wafer Bank loans, improve wafer quality,
and validate shipping boxes
– Coordinate and communicate to enable the 450mm
transition
2 December 2009
97
For Further Information …
http://ismi.sematech.org/wafersize/index.htm
.
-or-
Tom Jefferson, ISMI Program Manager
[email protected]
THANK YOU !!!
2 December 2009
98
Questions and
Discussion
2 December 2009
99