BenQ Joybook S52/S53 (Quanta ED3) - Lda

Transcription

BenQ Joybook S52/S53 (Quanta ED3) - Lda
1
A
笔记本图纸QQ107203753
ED3-UMA DESIGN
2
RUN POWER
SW
3
4
5
7
8
VER : B3B
A
AC/BATT
CONNECTOR
PG 37
BATT
CHARGER
PG 37
Dothan
DC/DC
+3V_SRC
+5VSUS
(478 Micro-FCPGA)
PG 34
6
PG 34
CPU VR
CLOCKS
PG 33
PG 17
PG 5, 6
FSB
133MHZ
LVDS
DDRII-SODIMM1
PG 15, 16
Panel Connector
PG 18
Alviso 915GM/GML
400/533 MHZ DDR II
TVOUT
S-Video
1257 PCBGA
PG 24
DDRII-SODIMM2
VGA
PG 15, 16
B
PR-VGA
VGA
DMI
interface
USB2.0
(P0~P7)
SATA0
USB2.0 (P3)
Bluetooth
PG 24
USB2.0 (P2)
USB2.0 (P0~P1,P4)
PG 20
PATA - HDD
ICH6-M
PATA 100
USB2.0 I/O
PG 24
Ports
Magnetics
LAN
RTL8100S
PG 20
RJ45
PG 26
PR-LAN
PG 26
Port
Replicator
PCI Bus 33MHz
Internal ODD
CD-ROM
PG 20
PG 31
PG 12,13, 14
AC97/Azalia
C
MINI-PCI
CARDBUS PC7411
PG 21,22,23
Conexant Audio
LPC
PCMCIA
CON.
PG 21
PG 28
AUDIO
Amplifier
PG 29
MDC DAA
KBC
PG 30
PG 32
Audio
Jacks
PG 29
MODEM
RJ 11
PG 26
Key
Matrix
PG 27
Touch
Pad
PG 27
Card
Reader
PG 22
C
PG 24
IEEE1394
CONN.
PG 23
Wireless
LAN Card
PG 24
Serial
PR-COM
Parallel
PR-Printer
Super IO
NS97551
LPC47N217
X-Bus
D
PR-USB2.0
P2 reserved for
third USB
PG 25
609 BGA
Jack to
Speaker
PG 29
B
PG 19
PG 7,8,9,10, 11
SATA - HDD
S-Video
reserved
PR-PS/2
PR-Audio out
Flash
PG 31
D
IrDA
PG 32
PG 31
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Block Diagram 1
Date:
1
2
3
4
5
6
Wednesday, June 22, 2005
7
Sheet
1
of
8
38
1
A
笔记本图纸QQ107203753
2
3
4
PCI ROUTING
TABLE
REQ0# / GNT0#
IDSEL
INTERUPT
DEVICE
AD24
PIRQA#
RTL8110S
REQ2# / GNT2#
AD19
PIRQB# , PIRQD#
MINI-PCI
REQ1# / GNT1#
AD17
PIRQC#,PIRQD#,PIRQA#
TI 7411
5
6
7
8
SMB I
A
CLK
GEN
MOSFET
ICH6
DIMM1
DIMM0
+3VSUS
B
+3VRUN
B
SMB II
MOSFET
NS551
551
EPROM
Smart
Battery
Thermal
IC of
CPU
+3VALW
+3VRUN
C
C
D
D
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Block Diagram 2
Date:
1
2
3
4
5
6
Tuesday, June 14, 2005
7
Sheet
2
of
8
38
1
笔记本图纸QQ107203753
2
3
4
5
6
7
8
w
o
l
F
l
i
a
R
r
e
w
o
P
A
A
VIN
HWPG
VHCORE
DC_IN
FB
VA
Diode
AO4404
SC451
VRON
IMVP_PWRGD
CPU_VID[0..5]
LM339
Charger
STP_CPU#
DPRSLPVR
+15VALW
VIN
AO4411
MAX1632A
CLK_EN#
AOD4411
PSI
MAIND
2N7002
+5VALW
+5VSUS
AO4812
MAIND
Battery
VIN
MBATT
FB
3.3VREF
+5VRUN
SUSD
+VCCP
+3VSUS
+3VALW
MAINON
LM27281
+2_5VSUS
SUSON
PG
+2_5VRUN
HWPG
AO4812
MAIND
AO4414
B
HWPG
SI5402
VIN
HWPG
AO4414
SC1470
SUSON
B
+3VRUN
SUSD
MAIND
+3V_S5
S5_ON
+1_5VRUN
+1_5VSUS
+3VRUN
+2_5VSUS
+12VRUN
+12VALW
Pass Through
for SUS Rail
FB
FB
AVDD_CLK
AIC1117
AMCVDD
FB
+3_3VDC
+5VRUN
FB
AVDD
FB
+3_3VDD
+3VSUS
FB
+3V_MODEM
+3V_S5
FB
+1_25VSUS
G2966
MAINON
+2_5VRUN
Controlled
for RUN Rail
+1_25VRUN
C
+5VRUN
AO6402
C
FAN_PWR
+5VRUN
FB
+5VHDD
+3V_LAN_D
1197
+2P5V_LAN
FB
DVDD_LAN
CTRL25
+5VRUN
FB
+5VODD
FB
+3V_LAN_A
+3VRUN
FB
+3VHDD
CTRL18
1197
+1P8V_LAN
D
D
Size
Document Number
Date:
Tuesday, June 14, 2005
Rev
A3A
Block Diagram 3
1
2
3
4
5
6
7
Sheet
3
8
of
38
1
2
Description
1-3
Schematic Block Diagram
4
FRONTPAGE
5-6
Dothan/Younah
7-11
ALVISO GM
12-14
ICH6M
DNI LIST
New Label
DDRII SO-DIMM(200P)
17
CLOCK GENERATOR
18-19
LCD CONN & CRT CONN
20
SATA & IDE (HDD&CD_ROM)
21-23
PCI7411 & CONN & IEEE1394
24
MINI-PCI & MDC CONN
25-26
LAN & LAN Conn.
27
TOUCH PAD & FAN&KB
28
Azilia AC97 CODEC
29
Audio Amplifier
30
MODEM
31
DOCKING & SIO & FIR
32
KBC PC97551
33
CPU Power
34
3.3V/5V/12V/15V
35
1.5VSUS/1.5VRUN
+VCCP/+1.25V/+2.5V
37
NOTE
6
7
8
Description
VIN
MAIN POWER (10~20V)
MBATT
MAIN BATTERY + (10~17V)
+15VALW
+15V ALWAYS
+12VALW
+12V ALWAYS
+12VRUN
+12V RUN
A
MAINON
+5V ALWAYS & KBC POWER
NO USE
+5V_S5
+5VSUS
+5VRUN
Battery & Charger
Control Signal or Source
AC ADAPTER (20V)
+5VALW
B
36
5
VA
A
15-16
4
Power and Ground
INDEX
Pg#
笔记本图纸QQ107203753
3
THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE
S5_ON
+5V S5 CONTROLED POWER
SUSD
+5V S3 CONTROLED POWER
MAIND
+5VHDD
CONNECT TO +5VRUN DIRECTLY
+5V HDD POWER
+5VHDD_EN#
+5VODD
CONNECT TO +5VRUN DIRECTLY
+5V ODD POWER
+5VMOD_EN#
+5VFDD
NO USE
EXTERNAL FDD POWER (5V)
+5VFDD_EN#
FAN_PWR
FAN POWER (5V)
VFAN, MAX6657_OV#
VDDA
Amplifier Power 5V RUN Plane
+5VRUN
AMCVDD
AC97 Code DAC Power 3VRUN
+3VSUS
3V_MODEM
MODEM Power 3VSUS
+5VRUN or +3VRUN
B
+3VALW
8051 POWER (3V)
+3V_S5
THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE
S5_ON
+3VSUS
SLP_S5# CTRLD POWER
SUSD
+3VRUN
SLP_S3# CTRLD POWER
MAIND
SATA HDD Power
+3VHDD_EN#
+3V_LAN_D
LAN Digital Power
+3V_S5
+3V_LAN_A
LAN Analog Power
+3V_S5
+2P5V_LAN
LAN Analog Power
+3V_LAN_D (+3V_S5)
DVDD_LAN
LAN Digital Power 1.8 or 2.5V
+2P5V_LAN(+3V_S5)
RTCVCC
RTC & PCL POWER
CONNECT TO +3VRUN DIRECTLY
+3VHDD
REF3V
SUSON
+2_5VSUS
+2_5VRUN
MAIND
C
C
+1_8VSUS
NO USE
+1_8VRUN
NO USE
+1_8V_M24
NO USE
+2_5VRUN
+1_8VSUS or +1_8VRUN
+1_5V_S5
THIS POWER WILL BE TUNEED OFF IN S5 BATTERY MODE
S5_ON
+1_5VRUN
AGP I/O POWER
MAIND
+1_25VSUS
SMDDR_VTERM
+2_5VSUS
+1_5VSUS
SUSON
+1_25VRUN
D
MAINON
VGA1_2V
NO USE
ATI VGA 1.2V
+2_5VRUN
VGACORE
NO USE
ATI VGA CORE 1.0/1.2V
MAINON, POW_SW
+VCCP
AGTL+ POWER (1.05V)
MAINON
VHCORE
CPU CORE POWER (1.25/1.15V)
VR_ON, HWPG
GND
ALL PAGES
DIGITAL GROUND
AGND
Page 28,29
AUDIO GND
GNDP
NO USE
CPU POWER GND
CGNDP
NO USE
CHARGER GND
DC_GND
DC Jcak
DC/DC POWER GND
LANGND
NO USE
COMBO CONN GND
D
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Index
Date:
1
2
3
4
5
6
7
Tuesday, June 14, 2005
Sheet
8
4
of
38
笔记本图纸QQ107203753
4
5
6
7
HD#[0..63]
U31A
R2
P3
T2
P1
T1
REQ0#
REQ1#
REQ2#
REQ3#
REQ4#
7 ADS#
N2
ADS#
IERR#
A4
IERR#
7 HBREQ0#
7 BPRI#
7 BNR#
7 HLOCK#
N4
J3
L1
J2
BREQ0#
BPRI#
BNR#
LOCK#
ARBITRATION
PHASE
SIGNALS
7 HIT#
7 HITM#
7 DEFER#
K3
K4
L4
HIT#
HITM#
DEFER#
SNOOP PHASE
SIGNALS
C8
B8
A9
C9
M3
H1
K1
L2
BPM0#
BPM1#
BPM2#
BPM3#
TRDY#
RS0#
RS1#
RS2#
RESPONSE
PHASE
SIGNALS
T143
T144
T145
T146
7 HTRDY#
7 RS#0
7 RS#1
7 RS#2
BPM0#
BPM1#
BPM2#
BPM3#
A20M#
FERR#
IGNNE#
CPUPWRGD
SMI#
12 A20M#
12 FERR#
12 IGNNE#
12 CPUPWRGD
12 SMI#
TCK
TDO
TDI
TMS
TRST#
T91
T93
T147
T148
13 SYS_RESET#
*PAD
*PAD
*PAD
*PAD
12 INTR
12 NMI
12 STPCLK#
7,12 CPUSLP#
12 DPSLP#
12 DPRSTP#
PREQ#
PRDY#
DBR#
STPCLK#
CPUSLP#
DPSLP#
THERMDA
THERMDC
8,12 THERMTRIP#
1
+VCCP
R311
ERROR
SIGNALS
0_4
THERMTRIP1#
2
R312
CPU_PROCHOT#
56_4
C2
D3
A3
E4
B4
A20M#
FERR#
IGNNE#
PWRGOOD
SMI#
PC
COMPATIBILITY
SIGNALS
A13
A12
C12
C11
B13
A16
A15
B10
A10
A7
TCK
TDO
TDI
TMS
TRST#
ITP_CLK0
ITP_CLK1
PREQ#
PRDY#
DBR#
DIAGNOSTIC
& TEST
SIGNALS
D1
D4
C6
A6
B7
G1
LINT0
LINT1
STPCLK#
SLP#
DPSLP#
DPRSTP#
EXECUTION
CONTROL
SIGNALS
B18
A18
THERMDA
THERMDC
C17
B17
THERMTRIP#
THERMAL DIODE
47
10K-0402
3V_THM
C83
.1U/10V_4
Q13
2N7002
MBCLK 32,37
3 MBCLK
1
U18
1
3
2
4
THERMDC
VCC
DXN
DXP
-OVT
SMDATA
SMCLK
-ALT
GND
0
7 KBSMDAT
8 KBSMCLK
6
5
2 R71
1
THRM# 13
MAX6657_AL# 32
+3VRUN
MAX6657
10 mil trace /
10 mil space
R72
10K-0402
C86
2200P
R310
10K-0402
+3VRUN
THERMDA
MAX6657_OV# 27,34
B
ITP disable guidelines
Signal
Resistor Value
Connect To
TDI
150 ohm +/- 5%
VTT
Within 2.0" of the CPU
TMS
39 ohm +/- 5%
VTT
Within 2.0" of the CPU
TRST#
680 ohm +/- 5%
GND
Within 2.0" of the CPU
TCK
27 ohm +/- 5%
GND
Within 2.0" of the CPU
VTT
Within 2.0" of the CPU
TDO
Open
Resistor Placement
Note: Populate R58, R62 when ITP
connector is populated.
+VCCP
DSTBN0#
DSTBP0#
DSTBN1#
DSTBP1#
DSTBN2#
DSTBP2#
DSTBN3#
DSTBP3#
C23
C22
K24
L24
W25
W24
AE24
AE25
HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#
DINV0#
DINV1#
DINV2#
DINV3#
D25
J26
T24
AD20
HDBI0#
HDBI1#
HDBI2#
HDBI3#
DBSY#
DRDY#
M2
H2
DBSY# 7
DRDY# 7
BCLK1
BCLK0
B14
B15
HCLK_CPU# 17
HCLK_CPU 17
B5
CPUINIT#
RESET#
B11
CPURST#
DPWR#
C19
INIT#
R66
15 MIL
R68
A
+3VRUN
7
7
7
7
7
7
7
7
7
7
7
7
FERR#
R60
1
56_4
2
IERR#
R319
1
56_4
2
CPUPWRGD
R58
1
200/F
2
+3VSUS
C
R318
150/F_4
DBR#
+VCCP
TCK
TRST#
R315 27.4/F
1
2
1
2
R62
680
+VCCP
R317
54.9/F
TDI
TMS
TDO
CPURST#
R316
54.9/F
1
HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4
+3VRUN
+3VRUN
MBDATA 32,37
3 MBDATA
1
1
ADSTB0#
ADSTB1#
Q14
2N7002
R56
39.2/F
R57
150/F_4
2
7
7
7
7
7
D
R69
10K-0402
2
DATA
PHASE
SIGNALS
REQUEST
PHASE
SIGNALS
B
G1: NC for Dothan and
DPRSTP# for Yonah
7
2
1 OF 3
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63
A19
A25
A22
B21
A24
B26
A21
B20
C20
B24
D24
E24
C26
B23
E23
C25
H23
G25
L23
M26
H24
F25
G24
J23
M23
J25
L26
N24
M25
H26
N25
K25
Y26
AA24
T25
U23
V23
R24
R26
R23
AA23
U26
V24
U25
V26
Y23
AA26
Y25
AB25
AC23
AB24
AC20
AC22
AC25
AD23
AE22
AF23
AD24
AF20
AE21
AD21
AF25
AF22
AF26
1
7 HADSTB0#
7 HADSTB1#
Dothan
D0#
D1#
D2#
D3#
D4#
D5#
D6#
D7#
D8#
D9#
D10#
D11#
D12#
D13#
D14#
D15#
D16#
D17#
D18#
D19#
D20#
D21#
D22#
D23#
D24#
D25#
D26#
D27#
D28#
D29#
D30#
D31#
D32#
D33#
D34#
D35#
D36#
D37#
D38#
D39#
D40#
D41#
D42#
D43#
D44#
D45#
D46#
D47#
D48#
D49#
D50#
D51#
D52#
D53#
D54#
D55#
D56#
D57#
D58#
D59#
D60#
D61#
D62#
D63#
+3VRUN
2
U3
AE5
A3#
A4#
A5#
A6#
A7#
A8#
A9#
A10#
A11#
A12#
A13#
A14#
A15#
A16#
A17#
A18#
A19#
A20#
A21#
A22#
A23#
A24#
A25#
A26#
A27#
A28#
A29#
A30#
A31#
1
P4
U4
V3
R3
V2
W1
T4
W2
Y4
Y1
U1
AA3
Y3
AA2
AF4
AC4
AC7
AC3
AD3
AE4
AD2
AB4
AC6
AD5
AE2
AD6
AF3
AE1
AF1
+3VRUN
2
HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31
A
C
HD#[0..63]
HA#[3..31]
7 HA#[3..31]
8
2
3
CT_0505: Change footprint to
BGA479M-SOCKET from
L100505 from MPGA479M
1
2
2
1
CPUINIT# 12
CPURST# 7
D
DPWR# 7
PROCHOT#
PROJECT : ED3
Dothan Processor
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Dothan (HOST)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
5
of
8
38
1
笔记本图纸QQ107203753
2
3
4
5
6
7
+VCCP
Place voltage
divider within
0.5" of GTLREF
pin
U31C
+VCCP
Trace as Wider as
possible.
P25
P26
AB2
AB1
GTLREF0
R16
2K/F
1
1
CPU_VCCA
C71
C5
F23
T97
B2
T95
T84
T83
T90
C3
AF7
AC1
E26
RSVD2
RSVD3
RSVD4
RSVD5
AC26
N1
B1
F26
VCCA3
VCCA2
VCCA1
VCCA0
T85
T92
T96
R55
2
+1_5VRUN
0_4
CPU_VCCA
Removed +1_8VRUN
VHCORE
D6
D8
D18
D20
D22
E5
E7
E9
E17
E19
E21
F6
F8
F18
F20
F22
G5
G21
H6
H22
J5
J21
K22
U5
V6
V22
W5
W21
Y6
Y22
AA5
AA7
AA9
AA11
AA13
AA15
AA17
AA19
AA21
AB6
AB8
AB10
AB12
AB14
AB16
AB18
AB20
AB22
AC9
AC11
AC13
AC15
AC17
AC19
AD8
AD10
AD12
AD14
AD16
AD18
AE9
AE11
AE13
AE15
AE17
AE19
AF8
AF10
AF12
AF14
AF16
AF18
VHCORE
1
1
2
2
2
1
1
C416
C413
2
2
C33
2
C410
2
C417
2
C35
C422
1
1
1
1
2
2
2
2
2
C60
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
1
C411
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
2
2
C414
1
C62
C408
VHCORE
1
1
1
1
C63
1
C421
2
2
2
2
2
2
2
C36
VHCORE
C419
C420
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
2
C32
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
1
1
C404
1
C406
C426
VHCORE
1
C415
1
1
1
VHCORE
C407
1
C409
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
2
2
2
2
2
2
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
C64
2
C418
1
1
C412
1
1
1
1
1
C405
C
C424
2
C423
2
C61
2
2
2
VHCORE
C34
C425
1
1
1
1
1
10U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_810U_6.3V_8
VHCORE
Total caps = 1670 uF > 1430 uF (Intel Recommendation)
ESR = 9m ohm/4 // 5m ohm/35 ---> = 0.1343m ohm
C45
1
1
1
1
C30
C74
2
2
2
2
2
2
C52
.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4
2
2
C27
2
C46
.1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4
1
C76
1
C73
1
C51
1
C44
2
CC7343
1
C427
+ 150U/6.3V_7
2
D
+VCCP
1
1
+VCCP
2 OF 3
NC1
POWER,
GROUND,
RESERVED
SIGNALS
VHCORE
B
C59
Dothan
TEST1
TEST2
C75
.01U/16V_4 10U_6.3V_8
2
TEST1
TEST2
T94
T89
1
Place pulldown resistors within
0.5" of COMP pins
18mils Trace Width of COMP0,2
5mils Trace Width of COMP1,3
C37
GTLREF0
2
AD26
COMP0
COMP1
COMP2
COMP3
C, mF---------ESR, mW-----------ESL, nH
1 x 150 mF-----42 mW (typ) / 2--------2.5 nH / 12
10 x 0.1 mF----16 mW (typ) / 10-------0.6 nH / 10
VCC00
VCC01
VCC02
VCC03
VCC04
VCC05
VCC06
VCC07
VCC08
VCC09
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17
VCC18
VCC19
VCC20
VCC21
VCC22
VCC23
VCC24
VCC25
VCC26
VCC27
VCC28
VCC29
VCC30
VCC31
VCC32
VCC33
VCC34
VCC35
VCC36
VCC37
VCC38
VCC39
VCC40
VCC41
VCC42
VCC43
VCC44
VCC45
VCC46
VCC47
VCC48
VCC49
VCC50
VCC51
VCC52
VCC53
VCC54
VCC55
VCC56
VCC57
VCC58
VCC59
VCC60
VCC61
VCC62
VCC63
VCC64
VCC65
VCC66
VCC67
VCC68
VCC69
VCC70
VCC71
VSS00
VSS01
VSS02
VSS03
VSS04
VSS05
VSS06
VSS07
VSS08
VSS09
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
VSS34
VSS35
VSS36
VSS37
VSS38
VSS39
VSS40
VSS41
VSS42
VSS43
VSS44
VSS45
VSS46
VSS47
VSS48
VSS49
VSS50
VSS51
VSS52
VSS53
VSS54
VSS55
VSS56
VSS57
VSS58
VSS59
VSS60
VSS61
VSS62
VSS63
VSS64
VSS65
VSS66
VSS67
VSS68
VSS69
VSS70
VSS71
VSS72
VSS73
VSS74
VSS75
VSS76
VSS77
VSS78
VSS79
VSS80
VSS81
VSS82
VSS83
VSS84
VSS85
VSS86
VSS87
VSS88
VSS89
VSS90
VSS91
VSS92
VSS93
VSS94
VSS95
VSS96
VSS97
VSS98
VSS99
A2
A5
A8
A11
A14
A17
A20
A23
A26
B3
B6
B9
B12
B16
B19
B22
B25
C1
C4
C7
C10
C13
C15
C18
C21
C24
D2
D5
D7
D9
D11
D13
D15
D17
D19
D21
D23
D26
E3
E6
E8
E10
E12
E14
E16
E18
E20
E22
E25
F1
F4
F5
F7
F9
F11
F13
F15
F17
F19
F21
F24
G2
G6
G22
G23
G26
H3
H5
H21
H25
J1
J4
J6
J22
J24
K2
K5
K21
K23
K26
L3
L6
L22
L25
M1
M4
M5
M21
M24
N3
N6
N22
N23
N26
P2
P5
P21
P24
R1
R4
33
33
33
33
33
33
R313
DothanB
NC
Install
SELPSB2_CLK R313 1
SELPSB1_CLK R314 1
PSI
VCCQ0
VCCQ1
2 0_4
2 0_4
BSEL0 C16
BSEL1 C14
R50
2
*0_NC
1
E1
R46
*0_NC
13,17,33 STP_CPU#
P23
W4
Dothan
3 OF 3
POWER, GROUND AND NC
VID0
VID1
VID2
VID3
VID4
VID5
AE7
AF6
T81 *PAD
T82 *PAD
No using for MAX1907
VCCP0
VCCP1
VCCP2
VCCP3
VCCP4
VCCP5
VCCP6
VCCP7
VCCP8
VCCP9
VCCP10
VCCP11
VCCP12
VCCP13
VCCP14
VCCP15
VCCP16
VCCP17
VCCP18
VCCP19
VCCP20
VCCP21
VCCP22
VCCP23
VCCP24
E2
F2
F3
G3
G4
H4
CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5
DothanA
D10
D12
D14
D16
E11
E13
E15
F10
F12
F14
F16
K6
L5
L21
M6
M22
N5
N21
P6
P22
R5
R21
T6
T22
U21
VID
VCCSENSE
VSSSENSE
BSEL0
BSEL1
PSI
2
COMP0
COMP1
COMP2
COMP3
1
R22
54.9/F
1
R19
27.4/F
U31B
1
2
R15
1K/F-0402
2
2
R307
54.9/F
1
1
R27
27.4/F
1
A
2
2
COMP0
COMP1
COMP2
COMP3
8
R6
R22
R25
T3
T5
T21
T23
T26
U2
U6
U22
U24
V1
V4
V5
V21
V25
W3
W6
W22
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106
VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163
VSS164
VSS165
VSS166
VSS167
VSS168
VSS169
VSS170
VSS171
VSS172
VSS173
VSS174
VSS175
VSS176
VSS177
VSS178
VSS179
VSS180
VSS181
VSS182
VSS183
VSS184
VSS185
VSS186
VSS187
VSS188
VSS189
VSS190
VSS191
W23
W26
Y2
Y5
Y21
Y24
AA1
AA4
AA6
AA8
AA10
AA12
AA14
AA16
AA18
AA20
AA22
AA25
AB3
AB5
AB7
AB9
AB11
AB13
AB15
AB17
AB19
AB21
AB23
AB26
AC2
AC5
AC8
AC10
AC12
AC14
AC16
AC18
AC21
AC24
AD1
AD4
AD7
AD9
AD11
AD13
AD15
AD17
AD19
AD22
AD25
AE3
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE23
AE26
AF2
AF5
AF9
AF11
AF13
AF15
AF17
AF19
AF21
AF24
A
B
C
Dothan Processor
8,17 SELPSB2_CLK
8,17 SELPSB1_CLK
SELPSB2_CLK
SELPSB1_CLK
D
PROJECT : ED3
Quanta Computer Inc.
Dothan Processor
Size
Document Number
Rev
A3A
Dothan (Power)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
6
of
8
38
1
2
笔记本图纸QQ107203753
3
4
5
6
7
8
U33A
1
R102
221/F
1
2
HXSWING
20mil Trace
Length and
Width
2
B
R107
100/F
C130
2
1
.1U/10V_4
1
HYRCOMP
10mil Trace
Length and
Width
2
R152
24.9/F
1
+VCCP
R133
54.9/F
2
C
HYSCOMP
HXRCOMP
HXSCOMP
HXSWING
HYRCOMP
HYSCOMP
HYSWING
1
+VCCP
HYSWING
20mil Trace
Length and
Width
HXRCOMP
HXSCOMP
HXSWING
HYRCOMP
HYSCOMP
HYSWING
HADS#
HADSTB0#
HADSTB1#
HVREF
HBNR#
HBPRI#
BREQ0#
HCPURST#
F8
B9
E13
J11
A5
D5
E7
H10
ADS# 5
HADSTB0# 5
HADSTB1# 5
HCLKINN
HCLKINP
AB1
AB2
HCLK_MCH# 17
HCLK_MCH 17
HDBSY#
HDEFER#
HDINV#0
HDINV#1
HDINV#2
HDINV#3
HDPWR#
HDRDY#
HDSTBN0#
HDSTBN1#
HDSTBN2#
HDSTBN3#
HDSTBP0#
HDSTBP1#
HDSTBP2#
HDSTBP3#
HEDRDY#
HHIT#
HHITM#
HLOCK#
HPCREQ#
HREQ0#
HREQ1#
HREQ2#
HREQ3#
HREQ4#
HRS0#
HRS1#
HRS2#
HCPUSLP#
HTRDY#
C6
E6
H8
K3
T7
U5
G6
F7
G4
K1
R3
V3
G5
K2
R2
W4
F6
D4
D6
B3
A11
A7
D7
B8
C7
A8
A4
C5
B4
G8
B5
DBSY# 5
DEFER# 5
HDBI0# 5
HDBI1# 5
HDBI2# 5
HDBI3# 5
DPWR# 5
DRDY# 5
HDSTBN0#
HDSTBN1#
HDSTBN2#
HDSTBN3#
HDSTBP0#
HDSTBP1#
HDSTBP2#
HDSTBP3#
A
+VCCP
R129
100/F
2
R144
100/F
C173
close to
Alviso
100mil
B
HVREF
BNR# 5
BPRI# 5
HBREQ0# 5
CPURST# 5
C160
.1U/10V_4
R128
200/F
Concern about HVREF Trace
Length & Width
5
5
5
5
5
5
5
5
T7
*PAD
HIT# 5
HITM# 5
HLOCK# 5
HCPUSLP#_GMCH
HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4
RS#0 5
RS#1 5
RS#2 5
C
T105
*PAD
5
5
5
5
5
CT_0513: Install R89 0 ohm.
R89
1
2
HTRDY# 5
CPUSLP# 5,12
0_4
1
2
R143
221/F
C1
C2
D1
T1
L1
P1
HA#[3..31] 5
G9
C9
E9
B7
A10
F9
D8
B10
E10
G10
D9
E11
F10
G11
G13
C10
C11
D11
C12
B13
A12
F12
G12
E12
C13
B11
D13
A13
F13
2
HXSCOMP
+VCCP
HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31
HA3#
HA4#
HA5#
HA6#
HA7#
HA8#
HA9#
HA10#
HA11#
HA12#
HA13#
HA14#
HA15#
HA16#
HA17#
HA18#
HA19#
HA20#
HA21#
HA22#
HA23#
HA24#
HA25#
HA26#
HA27#
HA28#
HA29#
HA30#
HA31#
1
2
R95
54.9/F
HD0#
HD1#
HD2#
HD3#
HD4#
HD5#
HD6#
HD7#
HD8#
HD9#
HD10#
HD11#
HD12#
HD13#
HD14#
HD15#
HD16#
HD17#
HD18#
HD19#
HD20#
HD21#
HD22#
HD23#
HD24#
HD25#
HD26#
HD27#
HD28#
HD29#
HD30#
HD31#
HD32#
HD33#
HD34#
HD35#
HD36#
HD37#
HD38#
HD39#
HD40#
HD41#
HD42#
HD43#
HD44#
HD45#
HD46#
HD47#
HD48#
HD49#
HD50#
HD51#
HD52#
HD53#
HD54#
HD55#
HD56#
HD57#
HD58#
HD59#
HD60#
HD61#
HD62#
HD63#
1
1
+VCCP
E4
E1
F4
H7
E2
F1
E3
D3
K7
F2
J7
J8
H6
F3
K8
H5
H1
H2
K5
K6
J4
G3
H3
J1
L5
K4
J5
P7
L7
J3
P5
L3
U7
V6
R6
R5
P3
T8
R7
R8
U8
R4
T4
T5
R1
T3
V8
U6
W6
U3
V5
W8
W7
U2
U1
Y5
Y2
V4
Y7
W1
W3
Y3
Y6
W2
2
2
10mil Trace
Length and
Width
HA#[3..31]
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63
1
R98
24.9/F
A
HD#[0..63]
2
1
5 HD#[0..63]
HOST
HXRCOMP
Do not install R89 for Dothan-A
and install for Dothan-B
ALVISO
.1U/10V_4
2
1
CT_0505: Change footprint to
mbga1257-intel-alviso from MBGA-1257
D
D
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Alviso (HOST)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
7
of
8
38
笔记本图纸QQ107203753
3
4
5
CFG6
+VCCP
R106
2.2K/F
2
2
Y33
AA37
AB33
AC37
DMITXP0
DMITXP1
DMITXP2
DMITXP3
R169
*40.2/F
2
15,16
15,16
15,16
15,16
C598
C599
0.1U_4
0.1U_4
C
CFG/RSVD
DMI
M_CKE0
M_CKE1
M_CKE2
M_CKE3
AP21
AM21
AH21
AK21
M_CS#0
M_CS#1
M_CS#2
M_CS#3
AN16
AM14
AH15
AG16
SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#
AF22
AF16
SM_OCDCOMP0
SM_OCDCOMP1
AP14
AL15
AM11
AN10
SM_ODT0
SM_ODT1
SM_ODT2
SM_ODT3
M_OCDCOMP0
M_OCDCOMP1
M_ODT0
M_ODT1
M_ODT2
M_ODT3
M_RCOMPN
M_RCOMPP
SMVREF_GMCH
AK10
AK11
AF37
AD1
SMXSLEW AE27
AE28
SMYSLEW AF9
AF10
31 INT_VGA_GRN
31 INT_VGA_RED
SM_CKE0
SM_CKE1
SM_CKE2
SM_CKE3
BM_BUSY#
EXT_TS0#
EXT_TS1#
THRMTRIP#
PWROK
RSTIN#
DREF_CLKN
DREF_CLKP
DREF_SSCLKN
DREF_SSCLKP
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
NC11
SMRCOMPN
SMRCOMPP
SMVREF0
SMVREF1
SMXSLEWIN
SMXSLEWOUT
SMYSLEWIN
SMYSLEWOUT
J23
J21
H22
F5
AD30
AE29
A24
A23
C37
D37
PLTRST#_R 1
R168
DOT96#
DOT96
DREFSSCLK#
DREFSSCLK
B30
B29
C25
C24
LACLKN
LACLKP
LBCLKN
LBCLKP
INT_TXLOUT0INT_TXLOUT1INT_TXLOUT2-
B34
B33
B32
LADATAN0
LADATAN1
LADATAN2
INT_TXLOUT0+
INT_TXLOUT1+
INT_TXLOUT2+
A34
A33
B31
LADATAP0
LADATAP1
LADATAP2
T6
T16
T30
C29
D28
C27
LBDATAN0
LBDATAN1
LBDATAN2
T11
T15
T4
C28
D27
C26
LBDATAP0
LBDATAP1
LBDATAP2
1 39
T10
T8
T9
18 I_EDIDCLK
18 I_EDIDDATA
THERMTRIP# 5,12
IMVP_PWRGD 13,33
PLTRST# 12,13,20,31,32
2
100/F
DOT96# 17
DOT96 17
DREFSSCLK# 17
DREFSSCLK 17
T113*PAD
T111*PAD
T117*PAD
T115*PAD
T114*PAD
T112*PAD
T109*PAD
T107*PAD
T110*PAD
T106*PAD
T108*PAD
R97
1.5K/F
T1
T23
T24
18 INT_TXLCLKOUT18 INT_TXLCLKOUT+
18 INT_TXLOUT018 INT_TXLOUT118 INT_TXLOUT218 INT_TXLOUT0+
18 INT_TXLOUT1+
18 INT_TXLOUT2+
ALVISO
Route as short
as possible.
INT_TXLCLKOUTINT_TXLCLKOUT+
1 39
2
PM_BMBUSY# 13
PM_EXTTS#0
PM_EXTTS#1
TP_NC1
TP_NC2
TP_NC3
TP_NC4
TP_NC5
TP_NC6
TP_NC7
TP_NC8
TP_NC9
TP_NC10
TP_NC11
LBKLT_CTRL
LBKLT_EN
LCTLA_CLK
LCTLB_DATA
LDDC_CLK
LDDC_DATA
LVDD_EN
LIBG
LVBG
LVREFH
LVREFL
2
R80
INT_BLON
INT_DISP_ON
18 INT_BLON
18 INT_DISP_ON
AP37
AN37
AP36
AP2
AP1
AN1
B1
A2
B37
A36
A37
E25
F25
C23
C22
F23
F22
F26
C33
C31
F28
F27
R81
REFSET
220/F_4
R122
Item135
PM
M_CS#0
M_CS#1
M_CS#2
M_CS#3
SM_CK0#
SM_CK1#
SM_CK2#
SM_CK3#
SM_CK4#
SM_CK5#
DDCCLK
DDCDATA
BLUE
BLUE#
GREEN
GREEN#
RED
RED#
VSYNC
HSYNC
REFSET
INT_VGA_BLU
2
150/F_4 INT_VGA_GRN
2
150/F_4 INT_VGA_RED
2
150/F_4
1
R103
1
R96
1
R94
19 INT_VSYNC
LCK
15,16
15,16
15,16
15,16
1
1
R173
*40.2/F
2
M_CKE0
M_CKE1
M_CKE2
M_CKE3
19 INT_DDCCLK
19 INT_DDCDAT
31 INT_VGA_BLU
It's point to point,
55ohm trace, keep as
short as possible. close
Alviso.
LVDS
15,16
15,16
15,16
15,16
AN33
AK1
CLK_SDRAM2# AE10
AJ33
AF5
CLK_SDRAM5# AD10
R647
*2.21K/F
19 INT_HSYNC
NC
15 M_CLKOUT0#
15 M_CLKOUT1#
T40
15 M_CLKOUT3#
15 M_CLKOUT4#
T36
CLK_SDRAM5
SM_CK0
SM_CK1
SM_CK2
SM_CK3
SM_CK4
SM_CK5
DDR MUXING
T34
AM33
AL1
AE11
AJ34
AF6
AC10
T29
T21
T22
T14
VGA
15 M_CLKOUT3
15 M_CLKOUT4
CLK_SDRAM2
E24
E23
E21
D21
C20
B20
A19
B19
H21
G21
J20
R99
R93
150/F_4 150/F_4
PCI-EXPRESS GRAPHICS
DMI_RXP0
DMI_RXP1
DMI_RXP2
DMI_RXP3
4.99K/F
R110
1
13
13
13
13
24 TV_Y/G
24 TV_C/R
EXP_COMPI
EXP_ICOMPO
2
DMITXN0
DMITXN1
DMITXN2
DMITXN3
TVDAC_A
TVDAC_B
TVDAC_C
TV_REFSET
TV_IRTNA
TV_IRTNB
TV_IRTNC
INT_TV_COMP
INT_TV_Y/G
INT_TV_C/R
TV_REFSET
T104
1
AA33
AB37
AC33
AD37
A15
C16
A17
J18
B15
B16
B17
Reserved
for AV
2
DMI_RXN0
DMI_RXN1
DMI_RXN2
DMI_RXN3
SDVOCTRL_DATA
SDVOCTRL_CLK
GCLKN
GCLKP
17 CLK_MCH_3GPLL#
17 CLK_MCH_3GPLL
1
13
13
13
13
T18
T20
T26
T12
T13
T31
T5
T19
T2
T17
T25
T3
T33
H24
H25
AB29
AC29
T27
T28
SELPSB1_CLK 6,17
SELPSB2_CLK 6,17
2
DMIRXP0
DMIRXP1
DMIRXP2
DMIRXP3
CFG0
SELPSB1_CLK
SELPSB2_CLK
CFG3
CFG4
CFG5
CFG6
CFG7
CFG8
CFG9
CFG10
CFG11
CFG12
CFG13
CFG14
CFG15
CFG16
CFG17
CFG18
CFG19
CFG20
2
DMI_TXP0
DMI_TXP1
DMI_TXP2
DMI_TXP3
G16
H13
G14
F16
F15
G15
E16
D17
J16
D15
E15
D14
E14
H12
C14
H15
J15
H14
G22
G23
D23
G25
G24
J17
A31
A30
D26
D25
TV
13
13
13
13
Y31
AA35
AB31
AC35
CFG0
CFG1
CFG2
CFG3
CFG4
CFG5
CFG6
CFG7
CFG8
CFG9
CFG10
CFG11
CFG12
CFG13
CFG14
CFG15
CFG16
CFG17
CFG18
CFG19
CFG20
RSVD21
RSVD22
RSVD23
RSVD24
RSVD25
RSVD26
RSVD27
DMIRXN0
DMIRXN1
DMIRXN2
DMIRXN3
R104
MISC
AA31
AB35
AC31
AD35
T42
B
U33F
DMI_TXN0
DMI_TXN1
DMI_TXN2
DMI_TXN3
15 M_CLKOUT0
15 M_CLKOUT1
VCC3G_PCIE
SDVOCTRL_DATA default is no SDOV
U33C
A
8
R109
*2.2K/F_NC
Low=DMIx2
High=DMIx4
R126
10K-0402
13
13
13
13
7
CFG5
Low=DDR2
High=DDR1
1
6
1
2
1
1
D36 VCC3G_PCIE_R
D34
EXP_RXN0
EXP_RXN1
EXP_RXN2
EXP_RXN3
EXP_RXN4
EXP_RXN5
EXP_RXN6
EXP_RXN7
EXP_RXN8
EXP_RXN9
EXP_RXN10
EXP_RXN11
EXP_RXN12
EXP_RXN13
EXP_RXN14
EXP_RXN15
E30
F34
G30
H34
J30
K34
L30
M34
N30
P34
R30
T34
U30
V34
W30
Y34
EXP_RXP0
EXP_RXP1
EXP_RXP2
EXP_RXP3
EXP_RXP4
EXP_RXP5
EXP_RXP6
EXP_RXP7
EXP_RXP8
EXP_RXP9
EXP_RXP10
EXP_RXP11
EXP_RXP12
EXP_RXP13
EXP_RXP14
EXP_RXP15
D30
E34
F30
G34
H30
J34
K30
L34
M30
N34
P30
R34
T30
U34
V30
W34
EXP_TXN0
EXP_TXN1
EXP_TXN2
EXP_TXN3
EXP_TXN4
EXP_TXN5
EXP_TXN6
EXP_TXN7
EXP_TXN8
EXP_TXN9
EXP_TXN10
EXP_TXN11
EXP_TXN12
EXP_TXN13
EXP_TXN14
EXP_TXN15
E32
F36
G32
H36
J32
K36
L32
M36
N32
P36
R32
T36
U32
V36
W32
Y36
EXP_TXP0
EXP_TXP1
EXP_TXP2
EXP_TXP3
EXP_TXP4
EXP_TXP5
EXP_TXP6
EXP_TXP7
EXP_TXP8
EXP_TXP9
EXP_TXP10
EXP_TXP11
EXP_TXP12
EXP_TXP13
EXP_TXP14
EXP_TXP15
D32
E36
F32
G36
H32
J36
K32
L36
M32
N36
P32
R36
T32
U36
V32
W36
1
2
24.9/F
A
B
C
ALVISO
2
1.8VSUS
1.8VSUS
1K/F_4
R176
+2_5VRUN
1
1
C212
.1U/16V_6
SMVREF_GMCH
R189
80.6/F
2
M_RCOMPN
C222
1U/6.3V/X5R
1K/F_4
R181
R83
1
10K-0402
2
PM_EXTTS#0
R82
1
10K-0402
2
PM_EXTTS#1
System memory
throttling
using
1
M_RCOMPP
R183
80.6/F
D
2
D
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Alviso (VGA, DMI)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
8
of
8
38
1
笔记本图纸QQ107203753
2
3
M_A_DQ[0..63] 15
4
C
SADQ0
SADQ1
SADQ2
SADQ3
SADQ4
SADQ5
SADQ6
SADQ7
SADQ8
SADQ9
SADQ10
SADQ11
SADQ12
SADQ13
SADQ14
SADQ15
SADQ16
SADQ17
SADQ18
SADQ19
SADQ20
SADQ21
SADQ22
SADQ23
SADQ24
SADQ25
SADQ26
SADQ27
SADQ28
SADQ29
SADQ30
SADQ31
SADQ32
SADQ33
SADQ34
SADQ35
SADQ36
SADQ37
SADQ38
SADQ39
SADQ40
SADQ41
SADQ42
SADQ43
SADQ44
SADQ45
SADQ46
SADQ47
SADQ48
SADQ49
SADQ50
SADQ51
SADQ52
SADQ53
SADQ54
SADQ55
SADQ56
SADQ57
SADQ58
SADQ59
SADQ60
SADQ61
SADQ62
SADQ63
7
8
U33G
SA_BS0#
SA_BS1#
SA_BS2#
AK15
AK16
AL21
M_A_BS#0
M_A_BS#1
M_A_BS#2
SA_DM0
SA_DM1
SA_DM2
SA_DM3
SA_DM4
SA_DM5
SA_DM6
SA_DM7
AJ37
AP35
AL29
AP24
AP9
AP4
AJ2
AD3
M_A_DM0
M_A_DM1
M_A_DM2
M_A_DM3
M_A_DM4
M_A_DM5
M_A_DM6
M_A_DM7
SA_DQS0
SA_DQS1
SA_DQS2
SA_DQS3
SA_DQS4
SA_DQS5
SA_DQS6
SA_DQS7
AK36
AP33
AN29
AP23
AM8
AM4
AJ1
AE5
M_A_DQS0
M_A_DQS1
M_A_DQS2
M_A_DQS3
M_A_DQS4
M_A_DQS5
M_A_DQS6
M_A_DQS7
SA_DQS0#
SA_DQS1#
SA_DQS2#
SA_DQS3#
SA_DQS4#
SA_DQS5#
SA_DQS6#
SA_DQS7#
AK35
AP34
AN30
AN23
AN8
AM5
AH1
AE4
M_A_DQS#0
M_A_DQS#1
M_A_DQS#2
M_A_DQS#3
M_A_DQS#4
M_A_DQS#5
M_A_DQS#6
M_A_DQS#7
SA_MA0
SA_MA1
SA_MA2
SA_MA3
SA_MA4
SA_MA5
SA_MA6
SA_MA7
SA_MA8
SA_MA9
SA_MA10
SA_MA11
SA_MA12
SA_MA13
AL17
AP17
AP18
AM17
AN18
AM18
AL19
AP20
AM19
AL20
AM16
AN20
AM20
AM15
M_A_A0
M_A_A1
M_A_A2
M_A_A3
M_A_A4
M_A_A5
M_A_A6
M_A_A7
M_A_A8
M_A_A9
M_A_A10
M_A_A11
M_A_A12
M_A_A13
SA_CAS#
SA_RAS#
SA_RCVENIN#
SA_RCVENOUT#
SA_WE#
AN15
AP16
AF29
AF28
AP15
M_A_CAS#
M_A_RAS#
T139
T141
M_A_WE#
M_A_BS#0 15,16
M_A_BS#1 15,16
M_A_BS#2 15,16
M_A_DM[0..7] 15
M_A_DQS[0..7] 15
M_A_DQS#[0..7] 15
M_A_A[0..13] 15,16
M_A_CAS# 15,16
M_A_RAS# 15,16
M_A_WE# 15,16
M_B_DQ0
M_B_DQ1
M_B_DQ2
M_B_DQ3
M_B_DQ4
M_B_DQ5
M_B_DQ6
M_B_DQ7
M_B_DQ8
M_B_DQ9
M_B_DQ10
M_B_DQ11
M_B_DQ12
M_B_DQ13
M_B_DQ14
M_B_DQ15
M_B_DQ16
M_B_DQ17
M_B_DQ18
M_B_DQ19
M_B_DQ20
M_B_DQ21
M_B_DQ22
M_B_DQ23
M_B_DQ24
M_B_DQ25
M_B_DQ26
M_B_DQ27
M_B_DQ28
M_B_DQ29
M_B_DQ30
M_B_DQ31
M_B_DQ32
M_B_DQ33
M_B_DQ34
M_B_DQ35
M_B_DQ36
M_B_DQ37
M_B_DQ38
M_B_DQ39
M_B_DQ40
M_B_DQ41
M_B_DQ42
M_B_DQ43
M_B_DQ44
M_B_DQ45
M_B_DQ46
M_B_DQ47
M_B_DQ48
M_B_DQ49
M_B_DQ50
M_B_DQ51
M_B_DQ52
M_B_DQ53
M_B_DQ54
M_B_DQ55
M_B_DQ56
M_B_DQ57
M_B_DQ58
M_B_DQ59
M_B_DQ60
M_B_DQ61
M_B_DQ62
M_B_DQ63
AE31
AE32
AG32
AG36
AE34
AE33
AF31
AF30
AH33
AH32
AK31
AG30
AG34
AG33
AH31
AJ31
AK30
AJ30
AH29
AH28
AK29
AH30
AH27
AG28
AF24
AG23
AJ22
AK22
AH24
AH23
AG22
AJ21
AG10
AG9
AG8
AH8
AH11
AH10
AJ9
AK9
AJ7
AK6
AJ4
AH5
AK8
AJ8
AJ5
AK4
AG5
AG4
AD8
AD9
AH4
AG6
AE8
AD7
AC5
AB8
AB6
AA8
AC8
AC7
AA4
AA5
SBDQ0
SBDQ1
SBDQ2
SBDQ3
SBDQ4
SBDQ5
SBDQ6
SBDQ7
SBDQ8
SBDQ9
SBDQ10
SBDQ11
SBDQ12
SBDQ13
SBDQ14
SBDQ15
SBDQ16
SBDQ17
SBDQ18
SBDQ19
SBDQ20
SBDQ21
SBDQ22
SBDQ23
SBDQ24
SBDQ25
SBDQ26
SBDQ27
SBDQ28
SBDQ29
SBDQ30
SBDQ31
SBDQ32
SBDQ33
SBDQ34
SBDQ35
SBDQ36
SBDQ37
SBDQ38
SBDQ39
SBDQ40
SBDQ41
SBDQ42
SBDQ43
SBDQ44
SBDQ45
SBDQ46
SBDQ47
SBDQ48
SBDQ49
SBDQ50
SBDQ51
SBDQ52
SBDQ53
SBDQ54
SBDQ55
SBDQ56
SBDQ57
SBDQ58
SBDQ59
SBDQ60
SBDQ61
SBDQ62
SBDQ63
ALVISO
M_B_BS#0
M_B_BS#1
M_B_BS#2
SB_BS0#
SB_BS1#
SB_BS2#
AJ15
AG17
AG21
SB_DM0
SB_DM1
SB_DM2
SB_DM3
SB_DM4
SB_DM5
SB_DM6
SB_DM7
AF32
AK34
AK27
AK24
AJ10
AK5
AE7
AB7
M_B_DM0
M_B_DM1
M_B_DM2
M_B_DM3
M_B_DM4
M_B_DM5
M_B_DM6
M_B_DM7
SB_DQS0
SB_DQS1
SB_DQS2
SB_DQS3
SB_DQS4
SB_DQS5
SB_DQS6
SB_DQS7
AF34
AK32
AJ28
AK23
AM10
AH6
AF8
AB4
M_B_DQS0
M_B_DQS1
M_B_DQS2
M_B_DQS3
M_B_DQS4
M_B_DQS5
M_B_DQS6
M_B_DQS7
SB_DQS0#
SB_DQS1#
SB_DQS2#
SB_DQS3#
SB_DQS4#
SB_DQS5#
SB_DQS6#
SB_DQS7#
AF35
AK33
AK28
AJ23
AL10
AH7
AF7
AB5
M_B_DQS#0
M_B_DQS#1
M_B_DQS#2
M_B_DQS#3
M_B_DQS#4
M_B_DQS#5
M_B_DQS#6
M_B_DQS#7
SB_MA0
SB_MA1
SB_MA2
SB_MA3
SB_MA4
SB_MA5
SB_MA6
SB_MA7
SB_MA8
SB_MA9
SB_MA10
SB_MA11
SB_MA12
SB_MA13
AH17
AK17
AH18
AJ18
AK18
AJ19
AK19
AH19
AJ20
AH20
AJ16
AG18
AG20
AG15
M_B_A0
M_B_A1
M_B_A2
M_B_A3
M_B_A4
M_B_A5
M_B_A6
M_B_A7
M_B_A8
M_B_A9
M_B_A10
M_B_A11
M_B_A12
M_B_A13
SB_CAS#
SB_RAS#
SB_RCVENIN#
SB_RCVENOUT#
SB_WE#
AH14
AK14
AF15
AF14
AH16
DDR SYSTEM MEMORY B
AG35
AH35
AL35
AL37
AH36
AJ35
AK37
AL34
AM36
AN35
AP32
AM31
AM34
AM35
AL32
AM32
AN31
AP31
AN28
AP28
AL30
AM30
AM28
AL28
AP27
AM27
AM23
AM22
AL23
AM24
AN22
AP22
AM9
AL9
AL6
AP7
AP11
AP10
AL7
AM7
AN5
AN6
AN3
AP3
AP6
AM6
AL4
AM3
AK2
AK3
AG2
AG1
AL3
AM2
AH3
AG3
AF3
AE3
AD6
AC4
AF2
AF1
AD4
AD5
DDR SYSTEM MEMORY A
B
M_A_DQ0
M_A_DQ1
M_A_DQ2
M_A_DQ3
M_A_DQ4
M_A_DQ5
M_A_DQ6
M_A_DQ7
M_A_DQ8
M_A_DQ9
M_A_DQ10
M_A_DQ11
M_A_DQ12
M_A_DQ13
M_A_DQ14
M_A_DQ15
M_A_DQ16
M_A_DQ17
M_A_DQ18
M_A_DQ19
M_A_DQ20
M_A_DQ21
M_A_DQ22
M_A_DQ23
M_A_DQ24
M_A_DQ25
M_A_DQ26
M_A_DQ27
M_A_DQ28
M_A_DQ29
M_A_DQ30
M_A_DQ31
M_A_DQ32
M_A_DQ33
M_A_DQ34
M_A_DQ35
M_A_DQ36
M_A_DQ37
M_A_DQ38
M_A_DQ39
M_A_DQ40
M_A_DQ41
M_A_DQ42
M_A_DQ43
M_A_DQ44
M_A_DQ45
M_A_DQ46
M_A_DQ47
M_A_DQ48
M_A_DQ49
M_A_DQ50
M_A_DQ51
M_A_DQ52
M_A_DQ53
M_A_DQ54
M_A_DQ55
M_A_DQ56
M_A_DQ57
M_A_DQ58
M_A_DQ59
M_A_DQ60
M_A_DQ61
M_A_DQ62
M_A_DQ63
6
M_B_DQ[0..63] 15
U33B
A
5
M_B_CAS#
M_B_RAS#
T140
T142
M_B_WE#
A
M_B_BS#0 15,16
M_B_BS#1 15,16
M_B_BS#2 15,16
M_B_DM[0..7] 15
M_B_DQS[0..7] 15
M_B_DQS#[0..7] 15
B
M_B_A[0..13] 15,16
M_B_CAS# 15,16
M_B_RAS# 15,16
M_B_WE# 15,16
C
ALVISO
D
D
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Alviso (DDR)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
9
of
8
38
笔记本图纸QQ107203753
+VCCP
1
2
C126
.1U/10V_4
C114 .47U/10V_6
VCCP_GMCH_CAP1
1
2
A
C120 .47U/10V_6
1
2
1
1
+VCCP
2
2
C182
4.7U/10V_8
VCCP_GMCH_CAP2
C431 .22U/6.3V_6
VCCP_GMCH_CAP3
1
2
C153 .22U/6.3V_6
VCCP_GMCH_CAP4
1
2
4
1
1
2
2
2
1
1
1
BLM18PG181SN1
+3VRUN
D
2
2
1
1
0_4
2
L15
VCC_TVDACC
1
R91
1
0_4
2
+3VRUN
L19
1
VCC_TVBG
+2_5VRUN
2
1
2
C97
1
BLM18PG181SN1
+3VRUN
1
C96
.022U/16V_4 .1U/10V_4
2
VSS_TVBG
C121
C112
.1U/10V_4 10U_6.3V_8
2
2
R86
1
VCC_TVBG_R
1
1
BLM18PG181SN1
2
C122
.1U/10V_4
2
C154
.01U/16V_4
2
C109
.022U/16V_4 .1U/10V_4
1
1
10_4
1
+3VRUN
C95
C94
.022U/16V_4 .1U/10V_4
D14
RB751V
C
2
V1.8_DDR_CAP5
C229 .1U/10V_4
1
2
R76
2
VCCD_TVDAC
2
C223 .1U/10V_4
1
2
0_4
2
R85
1
VCCQ_TVDAC_R
0_4
2
L18
VCCQ_TVDAC
1
Note: All VCCSM
pins shorted
internally.
2
1
V1.8_DDR_CAP2
R79
1
VCCD_TVDAC_R
1
C227 .1U/10V_4
1
2
2
V1.8_DDR_CAP1
1
1
+2_5VRUN
C108
1
2
2
VCC_TVDACC_R
C92
1
BLM18PG181SN1
+1_5VRUN
2
1
2
C93
.022U/16V_4 .1U/10V_4
1.8VSUS
+
C201
10U_6.3V_8
C230
10U_6.3V_8
+
C557
330U_4V_2.8H
C558
330U_4V_2.8H
L32
VCC_DDRDLL
1
+1_5VRUN
BLM18PG181SN1
1
1
2
C209
.1U/10V_4
2
2
+ C231
100U/10V
B
VCC3G_PCIE
L25
V1.8_DDR_CAP6
V1.8_DDR_CAP3
V1.8_DDR_CAP4
2
1
2
.1U/10V_4
2
2
1
+1_5VRUN
BLM18PG181SN1
+
C187
C148
C165
10U_6.3V_810U_6.3V_8220U_4V_L
2
C228
1
1
Note: All VCCSM
pins shorted
internally.
1
VCC3G_PCIE
B28
A28
A27
VCCTX_LVDS0
VCCTX_LVDS1
VCCTX_LVDS2
C119
C118
.022U/16V_4 .1U/10V_4
+1_5VRUN
R165
VCCA_3GPLL
C226 .1U/10V_4
1
2
C208 .1U/10V_4
1
2
L28
2 VCCA_3GPLL_R 2
1
1
+1_5VRUN
BLM18PG181SN1
0.5/F
C191
C205
.1U/10V_4 10U_6.3V_8
+2_5VRUN
VCCA_SM0
VCCA_SM1
VCCA_SM2
VCCA_SM3
AF20
AP19
AF19
AF18
VCC_DDRDLL
VCC3G0
VCC3G1
VCC3G2
VCC3G3
VCC3G4
VCC3G5
VCC3G6
AE37
W37
U37
R37
N37
L37
J37
VCC3G_PCIE
VCCA_3GPLL0
VCCA_3GPLL1
VCCA_3GPLL2
Y29
Y28
Y27
VCCA_3GPLL
VCCA_3GBG
VSSA_3GBG
F37
G37
C146
.1U/10V_4
VCCA_3GBG
C117
4.7U/10V_8
+2_5VRUN
C124
.1U/10V_4
VSSA_3GBG
A
PROJECT : ED3
Quanta Computer Inc.
VCCA_3GBG
VSSA_3GBG
Size
Document Number
Rev
A3A
Alviso (Power)
Date:
5
L16
VCC_TVDACB
1
C564
150U_4V_1.9H
+2_5VRUN
C163
2.2U/6.3V
VTT0
VTT1
VTT2
VTT3
VTT4
VTT5
VTT6
VTT7
VTT8
VTT9
VTT10
VTT11
VTT12
VTT13
VTT14
VTT15
VTT16
VTT17
VTT18
VTT19
VTT20
VTT21
VTT22
VTT23
VTT24
VTT25
VTT26
VTT27
VTT28
VTT29
VTT30
VTT31
VTT32
VTT33
VTT34
VTT35
VTT36
VTT37
VTT38
VTT39
VTT40
VTT41
VTT42
VTT43
VTT44
VTT45
VTT46
VTT47
VTT48
VTT49
VTT50
VTT51
0_4
2
2
1
1
1
10_4
+
2
RB751V
1
C91
.022U/16V_4
2
12
C90
.1U/10V_4
2
2
K13
J13
K12
W11
V11
U11
T11
R11
P11
N11
M11
L11
K11
W10
V10
U10
T10
R10
P10
N10
M10
K10
J10
Y9
W9
U9
R9
P9
N9
M9
L9
J9
N8
M8
N7
M7
N6
M6
A6
N5
M5
N4
M4
N3
M3
N2
M2
B2
V1
N1
M1
G1
+3VRUN
1
VCCA_CRTDAC
R77
VCC_SYNC
1
BLM18PG181SN1
2
1
+ C190
470U_2.5V
2
1
2
C196
.1U/10V_4
+2_5VRUN
D15
H20
U33H
ALVISO
AM37
AH37
AP29
AD28
AD27
AC27
AP26
AN26
AM26
AL26
AK26
AJ26
AH26
AG26
AF26
AE26
AP25
AN25
AM25
AL25
AK25
AJ25
AH25
AG25
AF25
AE25
AE24
AE23
AE22
AE21
AE20
AE19
AE18
AE17
AE16
AE15
AE14
AP13
AN13
AM13
AL13
AK13
AJ13
AH13
AG13
AF13
AE13
AP12
AN12
AM12
AL12
AK12
AJ12
AH12
AG12
AF12
AE12
AD11
AC11
AB11
AB10
AB9
AP8
AM1
AE1
VCCSM0
VCCSM1
VCCSM2
VCCSM3
VCCSM4
VCCSM5
VCCSM6
VCCSM7
VCCSM8
VCCSM9
VCCSM10
VCCSM11
VCCSM12
VCCSM13
VCCSM14
VCCSM15
VCCSM16
VCCSM17
VCCSM18
VCCSM19
VCCSM20
VCCSM21
VCCSM22
VCCSM23
VCCSM24
VCCSM25
VCCSM26
VCCSM27
VCCSM28
VCCSM29
VCCSM30
VCCSM31
VCCSM32
VCCSM33
VCCSM34
VCCSM35
VCCSM36
VCCSM37
VCCSM38
VCCSM39
VCCSM40
VCCSM41
VCCSM42
VCCSM43
VCCSM44
VCCSM45
VCCSM46
VCCSM47
VCCSM48
VCCSM49
VCCSM50
VCCSM51
VCCSM52
VCCSM53
VCCSM54
VCCSM55
VCCSM56
VCCSM57
VCCSM58
VCCSM59
VCCSM60
VCCSM61
VCCSM62
VCCSM63
VCCSM64
R92
1
1
VCCA_MPLL
1
BLM11A121S
B
+VCCP
VCCA_CRTDAC0
VCCA_CRTDAC1
VSSA_CRTDAC
B22
B21
A21
2
C106
.022U/16V_4 .1U/10V_4
2
L45
2
F19
E19
G19
A35
VCCHV0
VCCHV1
VCCHV2
C107
2
1
VCCA_CRTDAC_R
+ C204
470U_2.5V
2
1
2
C195
.1U/10V_4
VCCA_LVDS
VCC_TVDACA
C202
C203
.1U/10V_4 10U_6.3V_8
1
VCCA_HPLL
1
BLM11A121S
VCCH_MPLL1
VCCH_MPLL0
VCCA_DPLLA
VCCA_DPLLB
VCCA_HPLL
VCCA_MPLL
B26
B25
A25
VCC_TVDACB_R
2
L44
2
AC2
AC1
B23
C35
AA1
AA2
VCCD_LVDS0
VCCD_LVDS1
VCCD_LVDS2
L20
1
1
+ C101
470U_2.5V
2
2
C123
.1U/10V_4
VCCD_TVDAC_R
VCCQ_TVDAC_R
2
VCCA_DPLLB
1
BLM11A121S
1
2
D19
H17
1
L22
C
VCCD_TVDAC
VCCDQ_TVDAC
0_4
2
2
1
+ C110
470U_2.5V
2
1
2
C125
.1U/10V_4
VCC_TVBG_R
VSS_TVBG
1
VCCA_DPLLA
1
BLM11A121S
H18
G18
1
R90
1
VCC_TVDACC_R
2
L23
2
VCCA_TVBG
VSSA_TVBG
VCC_TVDACA_R
VCC_TVDACB_R
2
+1_5VRUN
VCCA_TVDACA0
VCCA_TVDACA1
VCCA_TVDACB0
VCCA_TVDACB1
VCCA_TVDACC0
VCCA_TVDACC1
1
D
VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7
VCC8
VCC9
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17
VCC18
VCC19
VCC20
VCC21
VCC22
VCC23
VCC24
VCC25
VCC26
VCC27
VCC28
VCC29
VCC30
VCC31
VCC32
VCC33
VCC34
VCC35
VCC36
VCC37
VCC38
VCC39
VCC40
VCC41
VCC42
VCC43
VCC44
VCC45
VCC46
VCC47
VCC48
2
VCC_TVDACA_R
F17
E17
D18
C18
F18
E18
2
1
C164
10U_6.3V_8
2
1
2
1
2
1
2
1
2
1
C169
10U_6.3V_8
2
C162
C185
C189
C186
.1U/10V_4 .1U/10V_4 .1U/10V_4 10U_6.3V_8
3
T29
R29
N29
M29
K29
J29
V28
U28
T28
R28
P28
N28
M28
L28
K28
J28
H28
G28
V27
U27
T27
R27
P27
N27
M27
L27
K27
J27
H27
K26
H26
K25
J25
K24
K23
K22
K21
W20
U20
T20
K20
V19
U19
K19
W18
V18
T18
K18
K17
2
4
3900mA
POWER
5
+VCCP
3
2
Friday, June 17, 2005
Sheet
1
10
of
38
VCC_NCTF78
VCC_NCTF77
VCC_NCTF76
VCC_NCTF75
VCC_NCTF74
VCC_NCTF73
VCC_NCTF72
VCC_NCTF71
VCC_NCTF70
VCC_NCTF69
VCC_NCTF68
VCC_NCTF67
VCC_NCTF66
VCC_NCTF65
VCC_NCTF64
VCC_NCTF63
VCC_NCTF62
VCC_NCTF61
VCC_NCTF60
VCC_NCTF59
VCC_NCTF58
VCC_NCTF57
VCC_NCTF56
VCC_NCTF55
VCC_NCTF54
VCC_NCTF53
VCC_NCTF52
VCC_NCTF51
VCC_NCTF50
VCC_NCTF49
VCC_NCTF48
VCC_NCTF47
VCC_NCTF46
VCC_NCTF45
VCC_NCTF44
VCC_NCTF43
VCC_NCTF42
VCC_NCTF41
VCC_NCTF40
VCC_NCTF39
VCC_NCTF38
VCC_NCTF37
VCC_NCTF36
VCC_NCTF35
VCC_NCTF34
VCC_NCTF33
VCC_NCTF32
VCC_NCTF31
VCC_NCTF30
VCC_NCTF29
VCC_NCTF28
VCC_NCTF27
VCC_NCTF26
VCC_NCTF25
VCC_NCTF24
VCC_NCTF23
VCC_NCTF22
VCC_NCTF21
VCC_NCTF20
VCC_NCTF19
VCC_NCTF18
VCC_NCTF17
VCC_NCTF16
VCC_NCTF15
VCC_NCTF14
VCC_NCTF13
VCC_NCTF12
VCC_NCTF11
VCC_NCTF10
VCC_NCTF9
VCC_NCTF8
VCC_NCTF7
VCC_NCTF6
VCC_NCTF5
VCC_NCTF4
VCC_NCTF3
VCC_NCTF2
VCC_NCTF1
VCC_NCTF0
U33D
ALVISO
5
4
3
L12
M12
N12
P12
R12
T12
U12
V12
W12
L13
M13
N13
P13
R13
T13
U13
V13
W13
Y12
AA12
Y13
AA13
L14
M14
N14
P14
R14
T14
U14
V14
W14
Y14
AA14
AB14
L15
M15
N15
P15
R15
T15
U15
V15
W15
Y15
AA15
AB15
L16
M16
N16
P16
R16
T16
U16
V16
W16
Y16
AA16
AB16
R17
Y17
AA17
AB17
AA18
AB18
AA19
AB19
AA20
AB20
R21
Y21
AA21
AB21
Y22
AA22
AB22
Y23
AA23
AB23
Y24
AA24
AB24
Y25
AA25
AB25
Y26
AA26
AB26
U33E
ALVISO
VTT_NCTF17
VTT_NCTF16
VTT_NCTF15
VTT_NCTF14
VTT_NCTF13
VTT_NCTF12
VTT_NCTF11
VTT_NCTF10
VTT_NCTF9
VTT_NCTF8
VTT_NCTF7
VTT_NCTF6
VTT_NCTF5
VTT_NCTF4
VTT_NCTF3
VTT_NCTF2
VTT_NCTF1
VTT_NCTF0
VSS_NCTF68
VSS_NCTF67
VSS_NCTF66
VSS_NCTF65
VSS_NCTF64
VSS_NCTF63
VSS_NCTF62
VSS_NCTF61
VSS_NCTF60
VSS_NCTF59
VSS_NCTF58
VSS_NCTF57
VSS_NCTF56
VSS_NCTF55
VSS_NCTF54
VSS_NCTF53
VSS_NCTF52
VSS_NCTF51
VSS_NCTF50
VSS_NCTF49
VSS_NCTF48
VSS_NCTF47
VSS_NCTF46
VSS_NCTF45
VSS_NCTF44
VSS_NCTF43
VSS_NCTF42
VSS_NCTF41
VSS_NCTF40
VSS_NCTF39
VSS_NCTF38
VSS_NCTF37
VSS_NCTF36
VSS_NCTF35
VSS_NCTF34
VSS_NCTF33
VSS_NCTF32
VSS_NCTF31
VSS_NCTF30
VSS_NCTF29
VSS_NCTF28
VSS_NCTF27
VSS_NCTF26
VSS_NCTF25
VSS_NCTF24
VSS_NCTF23
VSS_NCTF22
VSS_NCTF21
VSS_NCTF20
VSS_NCTF19
VSS_NCTF18
VSS_NCTF17
VSS_NCTF16
VSS_NCTF15
VSS_NCTF14
VSS_NCTF13
VSS_NCTF12
VSS_NCTF11
VSS_NCTF10
VSS_NCTF9
VSS_NCTF8
VSS_NCTF7
VSS_NCTF6
VSS_NCTF5
VSS_NCTF4
VSS_NCTF3
VSS_NCTF2
VSS_NCTF1
VSS_NCTF0
VSS271
VSS270
VSS269
VSS268
VSS267
VSS266
VSS265
VSS264
VSS263
VSS262
VSS261
VSS260
VSS259
VSS258
VSS257
VSS256
VSS255
VSS254
VSS253
VSS252
VSS251
VSS250
VSS249
VSS248
VSS247
VSS246
VSS245
VSS244
VSS243
VSS242
VSS241
VSS240
VSS239
VSS238
VSS237
VSS236
VSS235
VSS234
VSS233
VSS232
VSS231
VSS230
VSS229
VSS228
VSS227
VSS226
VSS225
VSS224
VSS223
VSS222
VSS221
VSS220
VSS219
VSS218
VSS217
VSS216
VSS215
VSS214
VSS213
VSS212
VSS211
VSS210
VSS209
VSS208
VSS207
VSS206
VSS205
VSS204
VSS203
VSS202
VSS201
VSS200
VSS199
VSS198
VSS197
VSS196
VSS195
VSS194
VSS193
VSS192
VSS191
VSS190
VSS189
VSS188
VSS187
VSS186
VSS185
VSS184
VSS183
VSS182
VSS181
VSS180
VSS179
VSS178
VSS177
VSS176
VSS175
VSS174
VSS173
VSS172
VSS171
VSS170
VSS169
VSS168
VSS167
VSS166
VSS165
VSS164
VSS163
VSS162
VSS161
VSS160
VSS159
VSS158
VSS157
VSS156
VSS155
VSS154
VSS153
VSS152
VSS151
VSS150
VSS149
VSS148
VSS147
VSS146
VSS145
VSS144
VSS143
VSS142
VSS141
VSS140
VSS139
VSS138
VSS137
VSS136
VSSALVDS
D
Y1
D2
G2
J2
AL24
AN24
A26
E26
G26
J26
B27
L2
P2
T2
V2
AD2
AE2
AH2
AL2
AN2
A3
C3
AA3
AB3
AC3
AJ3
C4
H4
L4
P4
U4
Y4
AF4
AN4
E5
W5
AL5
AP5
B6
J6
L6
P6
T6
AA6
AC6
AE6
AJ6
G7
V7
AA7
AG7
AK7
AN7
C8
E8
L8
P8
Y8
AL8
A9
H9
K9
T9
V9
AA9
AC9
AE9
AH9
AN9
D10
L10
Y10
AA10
F11
H11
Y11
AA11
AF11
AG11
AJ11
AL11
AN11
B12
D12
J12
A14
B14
F14
J14
K14
AG14
AJ14
AL14
AN14
C15
K15
A16
D16
H16
K16
AL16
C17
G17
AF17
AJ17
AN17
A18
B18
U18
AL18
C19
H19
J19
T19
W19
AG19
AN19
A20
D20
E20
F20
G20
V20
AK20
C21
F21
AF21
AN21
A22
D22
E22
J22
AH22
AL22
H23
AF23
B36
4
AB12 VCCSM_NCTF31
AC12VCCSM_NCTF30
AD12VCCSM_NCTF29
AB13 VCCSM_NCTF28
AC13VCCSM_NCTF27
AD13VCCSM_NCTF26
AC14VCCSM_NCTF25
AD14VCCSM_NCTF24
AC15VCCSM_NCTF23
AD15VCCSM_NCTF22
AC16VCCSM_NCTF21
AD16VCCSM_NCTF20
AC17VCCSM_NCTF19
AD17VCCSM_NCTF18
AC18VCCSM_NCTF17
AD18VCCSM_NCTF16
AC19VCCSM_NCTF15
AD19VCCSM_NCTF14
AC20VCCSM_NCTF13
AD20VCCSM_NCTF12
AC21VCCSM_NCTF11
AD21VCCSM_NCTF10
AC22VCCSM_NCTF9
AD22VCCSM_NCTF8
AC23VCCSM_NCTF7
AD23VCCSM_NCTF6
AC24VCCSM_NCTF5
AD24VCCSM_NCTF4
AC25VCCSM_NCTF3
AD25VCCSM_NCTF2
AC26VCCSM_NCTF1
AD26VCCSM_NCTF0
L17
M17
N17
P17
T17
U17
V17
W17
L18
M18
N18
P18
R18
Y18
L19
M19
N19
P19
R19
Y19
L20
M20
N20
P20
R20
Y20
L21
M21
N21
P21
T21
U21
V21
W21
L22
M22
N22
P22
R22
T22
U22
V22
W22
L23
M23
N23
P23
R23
T23
U23
V23
W23
L24
M24
N24
P24
R24
T24
U24
V24
W24
L25
M25
N25
P25
R25
T25
U25
V25
W25
L26
M26
N26
P26
R26
T26
U26
V26
W26
B24 VSS135
D24 VSS134
F24 VSS133
J24 VSS132
AG24VSS131
AJ24 VSS130
E27 VSS129
G27 VSS128
W27 VSS127
AA27 VSS126
AB27 VSS125
AF27 VSS124
AG27VSS123
AJ27 VSS122
AL27 VSS121
AN27VSS120
E28 VSS119
W28 VSS118
AA28 VSS117
AB28 VSS116
AC28VSS115
A29 VSS114
D29 VSS113
E29 VSS112
F29 VSS111
G29 VSS110
H29 VSS109
L29 VSS108
P29 VSS107
U29 VSS106
V29 VSS105
W29 VSS104
AA29 VSS103
AD29VSS102
AG29VSS101
AJ29 VSS100
AM29VSS99
C30 VSS98
Y30 VSS97
AA30 VSS96
AB30 VSS95
AC30VSS94
AE30 VSS93
AP30 VSS92
D31 VSS91
E31 VSS90
F31 VSS89
G31 VSS88
H31 VSS87
J31 VSS86
K31 VSS85
L31 VSS84
M31 VSS83
N31 VSS82
P31 VSS81
R31 VSS80
T31 VSS79
U31 VSS78
V31 VSS77
W31 VSS76
AD31VSS75
AG31VSS74
AL31 VSS73
A32 VSS72
C32 VSS71
Y32 VSS70
AA32 VSS69
AB32 VSS68
AC32VSS67
AD32VSS66
AJ32 VSS65
AN32VSS64
D33 VSS63
E33 VSS62
F33 VSS61
G33 VSS60
H33 VSS59
J33 VSS58
K33 VSS57
L33 VSS56
M33 VSS55
N33 VSS54
P33 VSS53
R33 VSS52
T33 VSS51
U33 VSS50
V33 VSS49
W33 VSS48
AD33VSS47
AF33 VSS46
AL33 VSS45
C34 VSS44
AA34 VSS43
AB34 VSS42
AC34VSS41
AD34VSS40
AH34VSS39
AN34VSS38
B35 VSS37
D35 VSS36
E35 VSS35
F35 VSS34
G35 VSS33
H35 VSS32
J35 VSS31
K35 VSS30
L35 VSS29
M35 VSS28
N35 VSS27
P35 VSS26
R35 VSS25
T35 VSS24
U35 VSS23
V35 VSS22
W35 VSS21
Y35 VSS20
AE35 VSS19
C36 VSS18
AA36 VSS17
AB36 VSS16
AC36VSS15
AD36VSS14
AE36 VSS13
AF36 VSS12
AJ36 VSS11
AL36 VSS10
AN36VSS9
E37 VSS8
H37 VSS7
K37 VSS6
M37 VSS5
P37 VSS4
T37 VSS3
V37 VSS2
Y37 VSS1
AG37VSS0
5
笔记本图纸QQ107203753
3
2
2
1
Size
Date:
Tuesday, June 14, 2005
Sheet
1
11
D
VSS
C
C
+VCCP
B
NCTF
B
+VCCP
DDRI is 2.5V, DDRII is 1.8V.
1.8VSUS
A
A
Quanta Computer Inc.
PROJECT : ED3
Document Number
Alviso (VSS, NCTF0
Rev
A3A
of
38
笔记本图纸QQ107203753
3
2
2
6
LPC_DRQ0#
LPC_DRQ1#
R205
10M_4
Y1
Y2
CLK_32KX2
2
RTC_RST#
AA2
R652
R653
10K_4
10K_4
SM_INTRUDER#
1
AA3
AA5
RTCRST#
INTRUDER#
INTVRMEN
LAD0
LAD1/FB1
LAD2/FB2
LAD3/FB3
LDRQ0#
LDRQ1#/GPI41
LFRAME#
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
LPC_DRQ0#
LPC_DRQ1#
LFRAME#/FWH4
P2
N3
N5
N4
N6
P4
P3
13,21,24,31,32 SERIRQ
2
RTC
FERR#
R199 1
2 56_4
R_FERR#
RCIN#
GATEA20
AF25
AF23
AF24
AG26
AG24
AF27
AD23
AF22
CPUPWRGD/GPO49
INIT3_3V#
THRMTRIP#
SMI#
STPCLK#
CPUSLP#
DPSLP#/TP[2]
DPRSLP#/TP[4]
NMI
A20M#
FERR#
IGNNE#
INTR
INIT#
RCIN#
A20GATE
CPU
AG25
AE22
AE23
AG27
AE26
AE27
AD27
AE24
LAD0/FWH0 31,32
LAD1/FWH1 31,32
LAD2/FWH2 31,32
LAD3/FWH3 31,32
LPC_DRQ0# 31
LPC_DRQ1# 32
LFRAME#/FWH4 31,32
R201
R_CPUSLP#
R198
75/F_4
1
2 *0_NC
R200
1
2 0_4
D21
R_3VRTC 2
1K-0603
1
RB500V
R296
RTC_N02
1
3
RTC_N01
+5VALW
3K_6
Q34
PMBS3904
2
R297
4.7K_6
3.8V
3.1V
1
R295
15K/F
BT1
BATCON
C398
2
.1U/10V_4
21,24,25 AD[0..31]
+3VSUS
R228
PCIRST#
PLTRST#_1
P6
G6
R2
R5
AF19
2 10K-0402
1
21,24,25,31 PME#
17 PCLK_ICH
2
21,24,25 PCIRST#
24,25,31,32 CLKRUN#
R204
+3VRUN
21
R240
*33_4
C
1
C439
2
1
DPRSTP#
5
.047U/10V_4
2
8,13,20,31,32 PLTRST#
4
PLTRST#_1
1
7SH32
Try to remove
7SH32, if
possible.
20 PDD[0..15]
20
20
20
20
20
20
20
20
20
20
20
FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PAR
SERR#
PERR#
PLOCK#
REQ0#
REQ1#
REQ2#
REQ3#
REQ4#/GPI40
REQ5#/GPI1
REQ6#/GPI0
L5
B5
M5
B8
F7
E8
B7
REQ0#
REQ1#
REQ2#
REQ3#
REQ4#
REQ5#
REQ6#
GNT0#
GNT1#
GNT2#
GNT3#
GNT4#/GPO48
GNT5#/GPO17
GNT6#/GPO16
C1
B6
F1
C8
E7
F6
D8
GNT0#
GNT1#
GNT2#
T70
T76
T61
T65
PIRQA#
PIRQB#
PIRQC#
PIRQD#
PIRQE#/GPI2
PIRQF#/GPI3
PIRQG#/GPI4
PIRQH#/GPI5
N2
L2
M1
L3
D9
C7
C6
M3
PIRQA#
PIRQB#
PIRQC#
PIRQD#
ICH_GPIO2
PDD[0..15]
PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#
PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
AD14
AF15
AF14
AD12
AE14
AC11
AD11
AB11
AE13
AF13
AB12
AB13
AC13
AE15
AG15
AD13
PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#
AD16
AE17
AC16
AB17
AC17
AE16
AC14
AF16
AB16
AB14
AB15
DD0
DD1
DD2
DD3
DD4
DD5
DD6
DD7
DD8
DD9
DD10
DD11
DD12
DD13
DD14
DD15
SATALED#
SATA0_RXN
SATA0_RXP
SATA0_TXN
SATA0_TXP
AE3
AD3
AG2
AF2
SATA2_RXN
SATA2_RXP
SATA2_TXN
SATA2_TXP
AD7
AC7
AF6
AG6
SATA_CLKN
SATA_CLKP
AC2
AC1
SATARBIAS#
SATARBIAS
DCS1#
DCS3#
DA0
DA1
DA2
DIOR#
DIOW#
IORDY
IDEIRQ
DDREQ
DDACK#
AC19
AG11
AF11
2 56_4
1
21,24,25
21,24,25
21,24,25
21,24,25
ACZ_BIT_CLK
ACZ_SYNC
ACZ_RST#
C10
B9
A10
ACZ_SDIN0
ACZ_SDIN1
ACZ_SDIN2
ACZ_SDO
F11
F10
B10
C9
R308
Installed
Yonah
NC
NC
Installed
NC
+3VRUN
RP12
6
7
8
9
10
+3VRUN
5
4
3
2
1
PLOCK#
REQ6#
REQ1#
ICH_GPIO2
8.2KX8
REQ0 : LAN
REQ1 : 1394/CARDBUS
+3VRUN
REQ2 : MINI PCI
REQ0# 25
REQ1# 21
REQ2# 24
R273
R280
*100K_4_NC *100K_4_NC
GNT0 : LAN
GNT1 : 1394/CARDBUS
B
R237
*100K_4_NC
GNT2 : MINI PCI
MB_ID0
MB_ID1
MB_ID2
GNT0# 25
GNT1# 21
GNT2# 24
1
*BAS316
R309
Installed
Dothan B
REQ5#
SERR#
REQ4#
REQ0#
FRAME# 21,24,25
IRDY# 21,24,25
TRDY# 21,24,25
DEVSEL# 21,24,25
STOP# 21,24,25
PAR 21,24,25
SERR# 21,24,25
PERR# 21,24,25
PLOCK# 21
PIRQA#
PIRQB#
PIRQC#
PIRQD#
Dothan A
+VCCP
21,25
24
21
21,24
R272
R279
R236
1K-0402
1K-0402
1K-0402
Board ID
+3VRUN
M_SEN# 19,31,32
47K
SATA_LED#
2
HDD LED
Q30
*DTA114YUA
10K
SATA_RXN0_C
SATA_RXP0_C
SATA_TXN0_C
SATA_TXP0_C
C
SATA_RXN0_C 20
SATA_RXP0_C 20
HDDLED# 20,24
CLK_PCIE_SATA# 17
CLK_PCIE_SATA 17
R207
SATABIAS
1
24.9/F
2
Place within 500mils
of ICH6 ball
R276
R275
R277
39_4
39_4
39_4
R274
39_4
AC_SDIN0 28
T60
T135
C437 *3900P
SATA_TXN0_C 1
2
SATA_RXN0 20
C438 *3900P
SATA_TXP0_C 1
2
SATA_RXP0 20
Distance between the ICH-6 M and
cap on the "P" signal should be
identical distance between the
ICH-6 M and cap on the "N" signal
for same pair.
AC_BITCLK 28
AC_SYNC 28
AC_RESET# 28
AC_SDOUT 28
C383
*10P_4_NC
ICH6-M
C380
*10P_4_NC
2
D
C/BE0#
C/BE1#
C/BE2#
C/BE3#
2
D18
IDE
U34
PME#
PCICLK
PCIRST#
PLTRST#
CLKRUN#/GPIO32
J3
A3
J2
C3
J1
E1
G5
E3
C5
1 10K-0402
2
+3VSUS
C282
*18P
PCI
FRAME#
IRDY#
TRDY#
DEVSEL#
STOP#
PAR
SERR#
PERR#
PLOCK#
THERMTRIP# 5,8
SMI# 5
STPCLK# 5
CPUSLP# 5,7
DPSLP# 5
DPRSTP# 5
1
R298
1U/10V_6
C/BE0#
C/BE1#
C/BE2#
C/BE3#
A
3
C396
J6
H6
G4
G2
PIRQB#
REQ2#
TRDY#
FRAME#
2
C397
.1U/10V_4
SATA
*RB500V_NC
+3VRUN
5
4
3
2
1
8.2KX8
R208
C/BE0#
C/BE1#
C/BE2#
C/BE3#
+3VRUN
6
7
8
9
10
D
1
VCCRTC
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
PIRQD#
STOP#
PIRQA#
PIRQC#
56_4
1
1
E2
E5
C2
F5
F3
E9
F2
D6
E6
D3
A2
D2
D5
H3
B4
J5
K2
K5
D4
L6
G3
H4
H2
H5
B3
M6
B2
K6
K3
A5
L1
K4
AC-97/
AZALIA
2
+3VALW
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
IRDY#
REQ3#
DEVSEL#
PERR#
8.2KX8
CPUPWRGD 5
THERMTRIP#_ICH
5
4
3
2
1
RP11
R197
D20
6
7
8
9
10
+VCCP
< 2"
CPUPWRGD
+3VRUN
RP13
RCIN#
SERIRQ
GATEA20
IRQ14
+3VRUN
RTCX1
RTCX2
JP5
*SHORT PAD
5 NMI
5 A20M#
5 FERR#
5 IGNNE#
5 INTR
5 CPUINIT#
32 RCIN#
32 GATEA20
8
PCI Pullups
1
C232
1
15P
LPC
2
4
3
1
C253
.1U/10V_4
A
B
7
U35A
RTC
182K/F
R211
1M
5
+3VRUN
32.768KHZ
W1
R221
1
4
CLK_32KX1
2
VCCRTC
C233
1
15P
2
2
1
1
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
ICH6-M (CPU, PCI, IDE, SATA, AC97)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
12
of
8
38
1
笔记本图纸QQ107203753
2
3
4
5
6
7
8
U35B
A
24 USBP0+
24 USBP024 USBOC0#
31 USBP2+
31 USBP231 USBOC2#
24 USBP4+
24 USBP424 USBOC4#
CLK48_USB
USBP0P
USBP0N
OC0#
USBP2P
USBP2N
OC2#
USBP4P
USBP4N
OC4#/GPI9
USBP6P
USBP6N
OC6#/GPI14
CLK48_USB
A27
CLK48
OC0#
OC2#
OC4#
R269
*68_4
17 CLK48_USB
+3VSUS
2
C
21
R257
33_4
AB24
AB23
AA27
AA26
DMI_RXN3 8
DMI_RXP3 8
DMI_TXN3 8
DMI_TXP3 8
USBRBIAS
DMI_RXN1
DMI_RXP1
DMI_TXN1
DMI_TXP1
V25
V24
U27
U26
DMI1_RXN
DMI1_RXP
DMI1_TXN
DMI1_TXP
DMI3_RXN
DMI3_RXP
DMI3_TXN
DMI3_TXP
AD25
AC25
DMI_CLKN
DMI_CLKP
DMI_ZCOMP
DMI_IRCOMP
F24
F23
DMI_COMP
HSIN2
HSIP2
HSON2
HSOP2
M25
M24
L27
L26
*PAD
*PAD
*PAD
*PAD
T122
T56
T123
T124
HSIN3
HSIP3
HSON3
HSOP3
P24
P23
N27
N26
*PAD
*PAD
*PAD
*PAD
T55
T53
T118
T120
PCSPK
PR_INSERT# 1
KBSMI#
SWI#
SCI#
28 PCSPK
31,32 PR_INSERT#
31,32 KBSMI#
32 SWI#
32 SCI#
20 ICH_GPO19
20 RST_HDD#
DMI_RXN2 8
DMI_RXP2 8
DMI_TXN2 8
DMI_TXP2 8
OC7#
8
8
8
8
T62 *PAD
T64 *PAD
T128 *PAD
T129 *PAD
H25
H24
G27
G26
HSIN0
HSIP0
HSON0
HSOP0
T58 *PAD
T57 *PAD
T125 *PAD
T127 *PAD
K25
K24
J27
J26
HSIN1
HSIP1
HSON1
HSOP1
Y4
W5
W6
SMBCLK
SMBDATA
SMBALERT#/GPI11
SMLINK0
SMLINK1
LINKALERET#
W4
U6
Y5
T2
AC20
AA1
AE20
V2
U1
Y3
AF21
AD19
W3
V6
RI#
THRM#
PWROK
DPRSLPVR/TP1
BATLOW#/TP0
PWRBTN#
RSMRST#
VRMPWRGD
BM_BUSY#/GPIO6
SUS_STAT#/LPCPD#
SUSCLK
SLP_S3#
SLP_S4#
SLP_S5#
LAN_RST#
SYS_RESET#
WAKE#
MCH_SYNC#
T4
T5
T6
V5
U2
U5
AG21
STP_PCI#/GPO18
STP_CPU#/GPO20
SERIRQ
AC21
AD22
AB20
E10
F8
AE19
R1
M2
R6
AB21
AD20
AD21
V3
CLK14
SPKR
GPI7
GPI8
GPI12
GPI13
GPO19
GPO21
GPO23
GPIO24
GPIO25
SATA0GP/GPIO26
GPIO27
GPIO28
SATA1GP/GPIO29
SATA2GP/GPIO30
SATA3GP/GPIO31
P5
AF17
R3
T3
AE18
AF18
AG18
*PAD T121
GPIO33
GPIO34
AF20
AC18
*PAD T46
*PAD T48
LAN_RXD0
LAN_RXD1
LAN_RXD2
LAN_TXD0
LAN_TXD1
LAN_TXD2
E12
E11
C13
C12
C11
E13
*PAD
*PAD
*PAD
*PAD
*PAD
*PAD
LAN_CLK
LAN_RSTSYNC
F12
B11
*PAD T66
*PAD T134
RSVD6
RSVD7
RSVD8
RSVD9
AD9
AF8
AG8
U3
ICH_RI#
THRM#
ICH_PWROK
DPRSLPVR
BATLOW#
DNBSWON#
RSMRST#
IMVP_PWRGD
PM_BMBUSY#
LPCPD#
10K-0402
R218
17 14M_ICH
Y25
Y24
W27
W26
OC5#
DMI0_RXN
DMI0_RXP
DMI0_TXN
DMI0_TXP
LIDICH#
32 DNBSWON#
32 RSMRST#
8,33 IMVP_PWRGD
8 PM_BMBUSY#
21,31 LPCPD#
DMI2_RXN
DMI2_RXP
DMI2_TXN
DMI2_TXP
OC3#
T25
T24
R27
R26
DMI
T49 *PAD
2 D2
SW1010C
PR_DOCK#
T80 *PAD
T50 *PAD
SM&SMI
PM
MISC&GPIO
Place within 500mils of ICH-6
R260
22.6/F
2
1
R245
2
RP14
OC6#
OC7#
OC4#
OC5#
+3VSUS
6
7
8
9
10
+3VSUS
5
4
3
2
1
OC0#
OC3#
OC1#
OC2#
10KX8
24.9/F
1
+1_5VRUN
Place within 500mils of ICH-6
B
SMLINK0
SMLINK1
SMB_LINK_ALERT#
ICH_PCIE_WAKE#
R226
1
680
2
SMLINK0
R222
1
10K-0402
2
SMLINK1
R223
1
10K-0402
2
MCH_SYNC#
R202
1
10K-0402
2
+3VSUS
+3VRUN
SUSB# 32
SUSC# 32
T54
R_SYS_RESET#
ICH_PCIE_WAKE#
MCH_SYNC#
R229 1
2
0_4
SYS_RESET# should be
high faster than
ICH_PWROK.
PLTRST# 8,12,20,31,32
SYS_RESET# 5
STP_PCI# 17
STP_CPU# 6,17,33
SERIRQ 12,21,24,31,32
LCDID1 18
LCDID0 18
C
R206
33_4
D12
B12
D11
F13
T71 *PAD
T132 *PAD
T72 *PAD
T63 *PAD
+3VSUS
+3VRUN
R299
R209 1
+3VSUS
R225
10K-0402
2
8.2K
10K-0402
AC5
AD5
AF4
AG4
AC9
SWI#
R203
1
2
8.2K
KBSMI#
RSVD1
RSVD2
RSVD3
RSVD4
RSVD5
LAN
RESERVED
T67
T59
T138
T137
T136
T133
ICH6-M
PR_DOCK#
+3VSUS
D
+3VRUN
EE_CS
EE_SHCLK
EE_DOUT
EE_DIN
2
1
C336
10P_4
PCI-EXPRESS
A
USBP1+ 24
USBP1- 24
USBOC1# 24
BT_USBP3+ 24
BT_USBP3- 24
OC1#
DMI_RXN0
DMI_RXP0
DMI_TXN0
DMI_TXP0
B
5 THRM#
32 ICH_PWROK
33 DPRSLPVR
B20
A20
B27
B18
A18
C26
A16
B16
D23
B14
A14
C24
B22
A22
8
8
8
8
17 CLK_PCIE_ICH#
17 CLK_PCIE_ICH
17 PCLK_SMB
17 PDAT_SMB
18 LIDICH#
USB
USBP1P
USBP1N
OC1#
USBP3P
USBP3N
OC3#
USBP5P
USBP5N
OC5#/GPI10
USBP7P
USBP7N
OC7#/GPI15
USBRBIAS
USBRBIAS#
1
C362
*2.2P_4
OC6#
D21
C21
C27
C19
D19
B26
D17
E17
C23
D15
C15
C25
+3VSUS
D
THRM#
RP10
7
5
3
1
R210 10K-0402
1
2 ICH_PWROK
1
2 RSMRST#
RP9
8
6
4
2
SCI#
LIDICH#
PDAT_SMB
SMB_LINK_ALERT#
7
5
3
1
8P4R-10K
8 BATLOW#
6 ICH_RI#
4 PCLK_SMB
2 R_SYS_RESET#
PROJECT : ED3
Quanta Computer Inc.
8P4R-10K
R219 10K-0402
Size
Document Number
Rev
A3A
ICH6-M (USB, DMI, LPC)
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
13
of
8
38
+1_5V_SATA_TX
2 VCCDMIPLL_R
2
C248
1
.1U/10V_4
L34 BLM11A121S
C242
1
2
2
1
C241 1R
2
+1_5VRUN
R212
10U_6.3V_8
1
1
.01U/16V_4
+3VRUN
+1_5VRUN
VCCDMIPLL
+3VRUN
1
.1U/10V_4 C238
2
2
C237
C319
A13
F14
G13
G14
.1U/10V_4
1
1
.1U/10V_4
A11
U4
V1
V7
W2
Y7
C234
2
2
+3VRUN
C240
1
1
.1U/10V_4 .1U/10V_4
A17
B17
C17
F18
G17
G18
2
+3VSUS
C337
2
2
2
VCCSUS1_5_2
VCCSUS1_5_3
R7
U7
VCC1_5_67
G8
VCC1_5_68
VCC1_5_69
VCC1_5_70
VCC1_5_71
VCC1_5_72
VCC1_5_73
VCC1_5_74
VCC1_5_75
VCC1_5_76
VCC1_5_77
VCC1_5_78
D24
D25
D26
D27
E20
E21
E22
E23
E24
F20
G20
VCC2_5_2
VCC2_5_4
P7
AB18
V5REF1
V5REF2
A8
AA18
V5REF
V5REF_SUS
F21
V5REF_SUS
VCCUSBPLL
VCCSUS3_3_20
A25
A24
VCCLAN3_3/VCCSUS3_3_1
VCCLAN3_3/VCCSUS3_3_2
VCCRTC
VCCLAN3_3/VCCSUS3_3_3
VCCLAN3_3/VCCSUS3_3_4
VCCLAN1_5/VCCSUS1_5_1
VCCSUS3_3_1
VCCLAN1_5/VCCSUS1_5_2
VCCSUS3_3_2
VCCSUS3_3_3
V_CPU_IO1
VCCSUS3_3_4
V_CPU_IO2
VCCSUS3_3_5
V_CPU_IO3
VCCSUS3_3_6
VCCSUS3_3_13
VCCSUS3_3_7
VCCSUS3_3_14
VCCSUS3_3_8
VCCSUS3_3_15
VCCSUS3_3_9
VCCSUS3_3_16
VCCSUS3_3_10
VCCSUS3_3_17
VCCSUS3_3_11
VCCSUS3_3_18
VCCSUS3_3_12
VCCSUS3_3_19
1
1
+1_5VSUS
C275
+1_5VRUN
C269
.1U/10V_4.1U/10V_4
+1_5VRUN
C239
C252
.1U/10V_4.1U/10V_4
+2_5VRUN
C276
.1U/10V_4
+1_5VRUN
+3VSUS
AB3
VCCRTC
G10
G11
+1_5VRUN
.01U/16V_4
.1U/10V_4
AB22
AD26
AG23
C16
D16
E16
F15
F16
G15
G16
C340
C318
+VCCP
C235
VSS001
VSS002
VSS003
VSS004
VSS005
VSS006
VSS007
VSS008
VSS009
VSS010
VSS011
VSS012
VSS013
VSS014
VSS015
VSS016
VSS017
VSS018
VSS019
VSS020
VSS021
VSS022
VSS023
VSS024
VSS025
VSS026
VSS027
VSS028
VSS029
VSS030
VSS031
VSS032
VSS033
VSS034
VSS035
VSS036
VSS037
VSS038
VSS039
VSS040
VSS041
VSS042
VSS043
VSS044
VSS045
VSS046
VSS047
VSS048
VSS049
VSS050
VSS051
VSS052
VSS053
VSS054
VSS055
VSS056
VSS057
VSS058
VSS059
VSS060
VSS061
VSS062
VSS063
VSS064
VSS065
VSS066
VSS067
VSS068
VSS069
VSS070
VSS071
VSS072
VSS073
VSS074
VSS075
VSS076
VSS077
VSS078
VSS079
VSS080
VSS081
VSS082
VSS083
VSS084
VSS085
VSS086
VSS087
VSS088
VSS089
VSS090
VSS091
VSS092
VSS093
VSS094
VSS095
VSS096
VSS097
VSS098
VSS099
VSS100
VSS101
VSS102
VSS103
VSS104
VSS105
VSS106
VSS107
VSS108
VSS109
VSS110
VSS111
VSS112
VSS113
VSS114
VSS115
VSS116
VSS117
VSS118
VSS119
VSS120
VSS121
VSS122
VSS123
VSS124
VSS125
VSS126
VSS127
VSS128
VSS129
VSS130
VSS131
VSS132
VSS133
VSS134
VSS135
VSS136
VSS137
VSS138
VSS139
VSS140
VSS141
VSS142
VSS143
VSS144
VSS145
VSS146
VSS147
VSS148
VSS149
VSS150
VSS151
VSS152
VSS153
VSS154
VSS155
VSS156
VSS157
VSS158
VSS159
VSS160
VSS161
VSS162
VSS163
VSS164
VSS165
VSS166
VSS167
VSS168
VSS169
VSS170
VSS171
VSS172
GND
G1
G12
G21
G7
G9
H23
H26
H27
J23
J24
J25
J4
K1
K23
K26
K27
K7
L13
L15
L23
L24
L25
M12
M13
M14
M15
M16
M23
M26
M27
M4
N1
N11
N12
N13
N14
N15
N16
N17
N7
P12
P13
P14
P15
P16
P22
R11
R12
R13
R14
R15
R16
R17
R23
R24
R25
R4
T1
T12
T13
T14
T15
T16
T23
T26
T27
T7
U13
U15
U23
U24
U25
V23
V26
V27
V4
W1
W23
W24
W25
W7
Y23
Y26
Y27
Y6
E27
A
B
C
ICH6-M
VCCRTC
ICH6-M
C243
1
.1U/10V_4
C254
2
2
+3VSUS
D
C343
CT_0505: Change footprint to
mbga609-intel-ich6 from
MBGA609-ICH6
1
.1U/10V_4 .1U/10V_4
1
D
U35D
A1
A12
A15
A19
A21
A23
A26
A4
A7
A9
AA11
AA13
AA16
AA4
AB1
AB10
AB19
AB2
AB7
AB9
AC10
AC12
AC22
AC23
AC24
AC26
AC3
AC6
AD1
AD10
AD15
AD18
AD2
AD24
AD6
AE10
AE11
AE12
AE2
AE21
AE25
AE6
AE7
AF1
AF10
AF12
AF26
AF3
AF7
AG1
AG12
AG14
AG17
AG20
AG22
AG3
AG7
B13
B15
B19
B21
B23
B24
B25
C14
C18
C20
C22
C4
D1
D10
D13
D14
D18
D20
D22
D7
E14
E15
E18
E19
E25
F17
F19
F22
F4
.1U/10V_4
2
1
.1U/10V_4
1
VCCSATAPLL
VCC3_3_22
2
AE1
AG10
1
VCCDMIPLL
VCC3_3_1
1
AC27
E26
2
2
VCC1_5_56
VCC1_5_57
VCC1_5_58
VCC1_5_59
VCC1_5_60
VCC1_5_61
VCC1_5_62
VCC1_5_63
VCC1_5_64
VCC1_5_65
2
C
AA7
AA8
AA9
AB8
AC8
AD8
AE8
AE9
AF9
AG9
G19
2
+1_5VRUN
VCC1_5_46
VCC1_5_47
VCC1_5_48
VCC1_5_49
VCC1_5_50
VCC1_5_51
VCC1_5_52
VCC1_5_53
VCC1_5_54
VCC1_5_55
.1U/10V_4
VCCSUS1_5_1
1
1
.1U/10V_4
AA6
AB4
AB5
AB6
AC4
AD4
AE4
AE5
AF5
AG5
+1_5VSUS
C272
2
C280
.1U/10V_4.1U/10V_4
1
2
+1_5VRUN
+3VRUN
C341
2
2
1
+1_5V_SATA_RX
+3_3V_ICH
C281
1
C316
1U/10V_6
AA12
AA14
AA15
AA17
AC15
AD17
AG13
AG16
AG19
AA10
2
C323
.1U/10V_4
B
VCC3_3_12
VCC3_3_13
VCC3_3_14
VCC3_3_15
VCC3_3_16
VCC3_3_17
VCC3_3_18
VCC3_3_19
VCC3_3_20
VCC3_3_21
1
2
RB751V
1
V5REF_SUS
1
2
D17
1
2
2
+3VSUS
.1U/10V_4.1U/10V_4.1U/10V_4
1
10_4
2
C274
2
R242
1
+3VRUN
C314
1
+5VSUS
CT_0229: Adding
1u cap to meet
CRB.1501
C257
+3_3V_PCI
C247
2
*10_NC
2
A6
B1
E4
H1
H7
J7
L4
L7
M7
P1
C317
1
R241
1
2
1
+5VALW
VCC3_3_2
VCC3_3_3
VCC3_3_4
VCC3_3_5
VCC3_3_6
VCC3_3_7
VCC3_3_8
VCC3_3_9
VCC3_3_10
VCC3_3_11
2
C357
1U/10V_6
8
1
C344
.1U/10V_4
VCC
2
2
1
V5REF
1
RB751V
C277
.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.01U/16V_4
1
2
D19
2
+3VRUN
VCC1_5_79
VCC1_5_80
VCC1_5_81
VCC1_5_82
VCC1_5_83
VCC1_5_84
VCC1_5_85
VCC1_5_86
VCC1_5_87
VCC1_5_88
VCC1_5_89
VCC1_5_90
VCC1_5_91
VCC1_5_92
VCC1_5_93
VCC1_5_94
VCC1_5_95
VCC1_5_96
VCC1_5_97
VCC1_5_98
C321
1
100/F
2
A
VCC1_5_1
VCC1_5_2
VCC1_5_3
VCC1_5_4
VCC1_5_5
VCC1_5_6
VCC1_5_7
VCC1_5_8
VCC1_5_9
VCC1_5_10
VCC1_5_11
VCC1_5_12
VCC1_5_13
VCC1_5_14
VCC1_5_15
VCC1_5_16
VCC1_5_17
VCC1_5_18
VCC1_5_19
VCC1_5_20
VCC1_5_21
VCC1_5_22
VCC1_5_23
VCC1_5_24
VCC1_5_25
VCC1_5_26
VCC1_5_27
VCC1_5_28
VCC1_5_29
VCC1_5_30
VCC1_5_31
VCC1_5_32
VCC1_5_33
VCC1_5_34
VCC1_5_35
VCC1_5_36
VCC1_5_37
VCC1_5_38
VCC1_5_39
VCC1_5_40
VCC1_5_41
VCC1_5_42
VCC1_5_43
VCC1_5_44
VCC1_5_45
C322
2
+5VRUN
R271
1
C307
.1U/10V_4
7
+1_5VRUN
AA19
AA20
AA21
L11
L12
L14
L16
L17
M11
M17
P11
P17
T11
T17
U11
U12
U14
U16
U17
F9
1
*10_NC
2
6
U35C
AA22
AA23
AA24
AA25
AB25
AB26
AB27
F25
F26
F27
G22
G23
G24
G25
H21
H22
J21
J22
K21
K22
L21
L22
M21
M22
N21
N22
N23
N24
N25
P21
P25
P26
P27
R21
R22
T21
T22
U21
U22
V21
V22
W21
W22
Y21
Y22
2
R278
1
笔记本图纸QQ107203753
5
1
+5VSUS
1
C244
.1U/10V_4
2
+
1
C279
220U_4V_L
2
1
C320
.1U/10V_4
4
2
BLM41P600SPG
+1_5V_PCIE
2
2
L36
1
+1_5VRUN
3
1
2
1
1
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
ICH6-M (Power & GND)
Date:
1
2
3
4
5
6
Tuesday, June 14, 2005
7
Sheet
14
of
8
38
A
笔记本图纸QQ107203753
B
C
D
E
15
102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
107
106
85
BA0
BA1
BA2
M_A_DM0
M_A_DM1
M_A_DM2
M_A_DM3
M_A_DM4
M_A_DM5
M_A_DM6
M_A_DM7
10
26
52
67
130
147
170
185
DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
M_A_DQS0
M_A_DQS1
M_A_DQS2
M_A_DQS3
M_A_DQS4
M_A_DQS5
M_A_DQS6
M_A_DQS7
13
31
51
70
131
148
169
188
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
M_A_DQS#0
M_A_DQS#1
M_A_DQS#2
M_A_DQS#3
M_A_DQS#4
M_A_DQS#5
M_A_DQS#6
M_A_DQS#7
11
29
49
68
129
146
167
186
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
9,16 M_A_BS#0
9,16 M_A_BS#1
9,16 M_A_BS#2
9 M_A_DQS#[0..7]
M_CLKOUT0
M_CLKOUT0#
M_CLKOUT1
M_CLKOUT1#
8 M_CLKOUT0
8 M_CLKOUT0#
8 M_CLKOUT1
8 M_CLKOUT1#
M_CLKOUT0
C612
*10P
M_CLKOUT0#
2
M_CLKOUT1
C617
*10P
M_CLKOUT1#
79
80
CKE0
CKE1
9,16 M_A_RAS#
9,16 M_A_CAS#
9,16 M_A_WE#
8,16 M_CS#0
8,16 M_CS#1
108
113
109
110
115
RAS
CAS
WE
S0
S1
8,16 M_ODT0
8,16 M_ODT1
114
119
ODT0
ODT1
198
200
SA0
SA1
195
197
SDA
SCL
199
VDDspd
C622
0.1U_4
C626 0.1U_4
50
69
83
120
163
MVREF_DIM
1
VREF
2
3
8
9
12
15
18
21
24
27
28
33
34
39
40
41
42
47
48
53
54
VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
2
1.8VSUS
BA0
BA1
BA2
M_B_DM0
M_B_DM1
M_B_DM2
M_B_DM3
M_B_DM4
M_B_DM5
M_B_DM6
M_B_DM7
10
26
52
67
130
147
170
185
DM0
DM1
DM2
DM3
DM4
DM5
DM6
DM7
9 M_B_DM[0..7]
M_B_DQS0
M_B_DQS1
M_B_DQS2
M_B_DQS3
M_B_DQS4
M_B_DQS5
M_B_DQS6
M_B_DQS7
13
31
51
70
131
148
169
188
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
9 M_B_DQS[0..7]
11
29
49
68
129
146
167
186
DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
30
32
164
166
CK0
CK0
CK1
CK1
M_B_DQS#0
M_B_DQS#1
M_B_DQS#2
M_B_DQS#3
M_B_DQS#4
M_B_DQS#5
M_B_DQS#6
M_B_DQS#7
9 M_B_DQS#[0..7]
M_CLKOUT3
M_CLKOUT3#
M_CLKOUT4
M_CLKOUT4#
8 M_CLKOUT3
8 M_CLKOUT3#
8 M_CLKOUT4
8 M_CLKOUT4#
M_CLKOUT3
C610
*10P
M_CLKOUT3#
M_CLKOUT4
C615
*10P
M_CLKOUT4#
8,16 M_CKE2
8,16 M_CKE3
79
80
CKE0
CKE1
9,16 M_B_RAS#
9,16 M_B_CAS#
9,16 M_B_WE#
8,16 M_CS#2
8,16 M_CS#3
108
113
109
110
115
RAS
CAS
WE
S0
S1
8,16 M_ODT2
8,16 M_ODT3
114
119
ODT0
ODT1
R648
R649
0_4
10K_4
+3VRUN
CGDAT_SMB
CGCLK_SMB
+3VRUN
1.8VSUS
C624
C620
0.1U_4
0.1U_4
MVREF_DIM
SA0
SA1
195
197
SDA
SCL
199
VDDspd
VREF
2
3
8
9
12
15
18
21
24
27
28
33
34
39
40
41
42
47
48
53
54
VSS0
VSS1
VSS2
VSS3
VSS4
VSS5
VSS6
VSS7
VSS8
VSS9
VSS10
VSS11
VSS12
VSS13
VSS14
VSS15
VSS16
VSS17
VSS18
VSS19
VSS20
NC1
NC2
NC3
NC4
NC/TEST
50
69
83
120
163
CN23
REVERSE
198
200
1
5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194
(H=5.2)
VSS56
VSS55
VSS54
VSS53
VSS52
VSS51
VSS50
VSS49
VSS48
VSS47
VSS46
VSS45
VSS44
VSS43
VSS42
VSS41
VSS40
VSS39
VSS38
VSS37
VSS36
VSS35
VSS34
196
193
190
187
184
183
178
177
172
171
168
165
162
161
156
155
150
149
145
144
139
138
133
C627
2.2U/10V/X5R
C628
0.1U_4
1.8VSUS
R650
1K/F_4
MVREF_DIM
R651
C636
1U/6.3V/X5R
1K/F_4
(H=9.2)
VSS56
VSS55
VSS54
VSS53
VSS52
VSS51
VSS50
VSS49
VSS48
VSS47
VSS46
VSS45
VSS44
VSS43
VSS42
VSS41
VSS40
VSS39
VSS38
VSS37
VSS36
VSS35
VSS34
M_B_DQ0
M_B_DQ1
M_B_DQ2
M_B_DQ3
M_B_DQ4
M_B_DQ5
M_B_DQ6
M_B_DQ7
M_B_DQ8
M_B_DQ9
M_B_DQ10
M_B_DQ11
M_B_DQ12
M_B_DQ13
M_B_DQ15
M_B_DQ14
M_B_DQ16
M_B_DQ21
M_B_DQ22
M_B_DQ23
M_B_DQ20
M_B_DQ17
M_B_DQ19
M_B_DQ18
M_B_DQ28
M_B_DQ25
M_B_DQ31
M_B_DQ27
M_B_DQ24
M_B_DQ30
M_B_DQ29
M_B_DQ26
M_B_DQ32
M_B_DQ33
M_B_DQ34
M_B_DQ35
M_B_DQ36
M_B_DQ37
M_B_DQ38
M_B_DQ39
M_B_DQ40
M_B_DQ41
M_B_DQ42
M_B_DQ43
M_B_DQ44
M_B_DQ45
M_B_DQ46
M_B_DQ47
M_B_DQ53
M_B_DQ49
M_B_DQ50
M_B_DQ51
M_B_DQ48
M_B_DQ52
M_B_DQ54
M_B_DQ55
M_B_DQ56
M_B_DQ63
M_B_DQ57
M_B_DQ59
M_B_DQ60
M_B_DQ61
M_B_DQ58
M_B_DQ62
4
1.8VSUS
3
196
193
190
187
184
183
178
177
172
171
168
165
162
161
156
155
150
149
145
144
139
138
133
C600
*10U/6.3V/X5R
C601
*10U/6.3V/X5R
C602
10U/6.3V/X5R
C603
10U/6.3V/X5R
C604
0.1U_4
C605
0.1U_4
C606
0.1U_4
C607
0.1U_4
C608
0.1U_4
C609
0.1U_4
C611
0.1U_4
C613
0.1U_4
C614
0.1U_4
C616
0.1U_4
C618
0.1U_4
C619
0.1U_4
C621
0.1U_4
C623
0.1U_4
C625
0.1U_4
C629
0.1U_4
C632
0.1U_4
C633
0.1U_4
C634
0.1U_4
C635
0.1U_4
2
1
PROJECT : ED3
Quanta Computer Inc.
59
60
65
66
71
72
77
78
121
122
127
128
132
1
C631
0.1U_4
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
1
C630
2.2U/10V/X5R
107
106
85
9,16 M_B_BS#0
9,16 M_B_BS#1
9,16 M_B_BS#2
M_B_DQ[0..63] 9
DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63
2
+3VRUN
NC1
NC2
NC3
NC4
NC/TEST
CK0
CK0
CK1
CK1
8,16 M_CKE0
8,16 M_CKE1
CGDAT_SMB
CGCLK_SMB
17 CGDAT_SMB
17 CGCLK_SMB
30
32
164
166
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
VSS21
VSS22
VSS23
VSS24
VSS25
VSS26
VSS27
VSS28
VSS29
VSS30
VSS31
VSS32
VSS33
9 M_A_DQS[0..7]
102
101
100
99
98
97
94
92
93
91
105
90
89
116
86
84
59
60
65
66
71
72
77
78
121
122
127
128
132
3
CN24
M_A_DQ1
M_A_DQ0
M_A_DQ2
M_A_DQ7
M_A_DQ5
M_A_DQ4
M_A_DQ3
M_A_DQ6
M_A_DQ13
M_A_DQ9
M_A_DQ10
M_A_DQ11
M_A_DQ12
M_A_DQ8
M_A_DQ14
M_A_DQ15
M_A_DQ17
M_A_DQ21
M_A_DQ22
M_A_DQ19
M_A_DQ20
M_A_DQ16
M_A_DQ18
M_A_DQ23
M_A_DQ29
M_A_DQ28
M_A_DQ31
M_A_DQ27
M_A_DQ24
M_A_DQ25
M_A_DQ26
M_A_DQ30
M_A_DQ32
M_A_DQ33
M_A_DQ34
M_A_DQ35
M_A_DQ36
M_A_DQ37
M_A_DQ38
M_A_DQ39
M_A_DQ40
M_A_DQ41
M_A_DQ42
M_A_DQ43
M_A_DQ44
M_A_DQ45
M_A_DQ46
M_A_DQ47
M_A_DQ48
M_A_DQ49
M_A_DQ55
M_A_DQ50
M_A_DQ52
M_A_DQ53
M_A_DQ54
M_A_DQ51
M_A_DQ60
M_A_DQ57
M_A_DQ58
M_A_DQ59
M_A_DQ56
M_A_DQ61
M_A_DQ62
M_A_DQ63
1
9 M_A_DM[0..7]
5
7
17
19
4
6
14
16
23
25
35
37
20
22
36
38
43
45
55
57
44
46
56
58
61
63
73
75
62
64
74
76
123
125
135
137
124
126
134
136
141
143
151
153
140
142
152
154
157
159
173
175
158
160
174
176
179
181
189
191
180
182
192
194
REVERSE
SO-DIMM
4
DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63
VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
M_A_A0
M_A_A1
M_A_A2
M_A_A3
M_A_A4
M_A_A5
M_A_A6
M_A_A7
M_A_A8
M_A_A9
M_A_A10
M_A_A11
M_A_A12
M_A_A13
M_B_A0
M_B_A1
M_B_A2
M_B_A3
M_B_A4
M_B_A5
M_B_A6
M_B_A7
M_B_A8
M_B_A9
M_B_A10
M_B_A11
M_B_A12
M_B_A13
M_A_DQ[0..63] 9
SO-DIMM
81
82
87
88
95
96
103
104
111
112
117
118
9,16 M_B_A[0..13]
9,16 M_A_A[0..13]
VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
1.8VSUS
81
82
87
88
95
96
103
104
111
112
117
118
1.8VSUS
DDRII_SODIMM_R
Size
DDRII_SODIMM_R
1.This part should not contain any substances which are specified in SS-00259-1
2.Purchase ink, paint, wire rods and molding resins only from the business partners that Sony approves as Green Partners. Date:
A
B
C
D
Document Number
Rev
A3A
DDRII SO-DIMM 200P
Wednesday, June 15, 2005
Sheet
E
15
of
38
1
笔记本图纸QQ107203753
2
3
4
5
6
7
8
8,15
8,15
8,15
8,15
M_CKE0
M_CKE1
M_CKE2
M_CKE3
1
VTT_MEM
1.8VSUS
8,15
8,15
8,15
8,15
M_ODT0
M_ODT1
M_ODT2
M_ODT3
A
C586
+5VSUS
U45
10U/6.3V/X5R
2
C590
3
VTT
4
PGND
10U/6.3V/X5R
2
2
10U/6.3V/X5R
9
GND
8
S3
7
VTTREF
6
2.2U/10V/X5R
2
SUSON 32,34,35,36
9,15 M_A_BS#0
9,15 M_A_BS#1
9,15 M_A_BS#2
MAINON 32,34,36
9,15 M_A_WE#
9,15 M_A_CAS#
9,15 M_A_RAS#
9,15 M_B_BS#0
9,15 M_B_BS#1
9,15 M_B_BS#2
1
R646
2
VTTSNS
TPS51100
S5
1
0_4
1
C597
0.1U_4
9,15 M_B_WE#
9,15 M_B_CAS#
9,15 M_B_RAS#
2
C596
*1000P_4
VIN
51100
VLDOIN
GND
2
5
1
C595
2
C637
1
1
R645 0_4
2
1
VDDSSNS
10
11
VTT_MEM
1
8,15
8,15
8,15
8,15
51100
51100
R617
R618
R619
R620
56_4
56_4
56_4
56_4
R621
R622
R623
R624
56_4
56_4
56_4
56_4
M_A_BS#0
M_A_BS#1
M_A_BS#2
R625
R626
R627
56_4
56_4
56_4
M_A_WE#
M_A_CAS#
M_A_RAS#
R628
R629
R630
56_4
56_4
56_4
M_B_BS#0
M_B_BS#1
M_B_BS#2
R631
R632
R633
56_4
56_4
56_4
M_B_WE#
M_B_CAS#
M_B_RAS#
R634
R635
R636
56_4
56_4
56_4
R637
R638
R639
R640
56_4
56_4
56_4
56_4
M_CS#0
M_CS#1
M_CS#2
M_CS#3
A
B
B
VTT_MEM
C565
*10U/6.3V/X5R
C566
*10U/6.3V/X5R
9,15 M_A_A[0..13]
M_A_A13
M_A_A10
M_A_A0
R641
R642
RP18
M_A_A2
C567
C568
C569
C570
C571
C572
C573
C574
C575
C576
C577
C578
C579
C580
C581
C582
C583
C584
C585
C587
C588
C589
C591
C592
C593
C594
C
M_A_A4
M_A_A6
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
*0.1U_4
*0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
0.1U_4
*0.1U_4
0.1U_4
0.1U_4
0.1U_4
*0.1U_4
0.1U_4
0.1U_4
0.1U_4
*0.1U_4
0.1U_4
RP19
M_A_A7
M_A_A11
M_A_A9
M_A_A12
RP21
M_A_A3
M_A_A1
M_A_A8
M_A_A5
9,15 M_B_A[0..13]
M_B_A0
M_B_A2
M_B_A4
M_B_A6
M_B_A11
M_B_A7
RP22
RP23
RP24
RP25
RP26
M_B_A3
M_B_A1
M_B_A9
M_B_A5
M_B_A12
M_B_A8
M_B_A10
M_B_A13
RP20
RP27
RP28
RP29
R643
R644
1
3
1
3
1
3
1
3
1
3
1
3
56_4
56_4
2 0404-56X2
4
2 0404-56X2
4
2 0404-56X2
4
2 0404-56X2
4
2 0404-56X2
4
2 0404-56X2
4
1
3
1
3
1
3
1
3
1
3
1
3
2
4
2
4
2
4
2
4
2
4
2
4
0404-56X2
0404-56X2
0404-56X2
0404-56X2
C
0404-56X2
0404-56X2
56_4
56_4
D
D
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Rev
A3A
DDR TERMINATION
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
16
8
of
38
1
133
100
33
1
1
166
100
33
0
1
0
200
100
33
0
0
0
266
100
33
1
0
0
333
100
33
1
1
0
400
100
33
1
1
1
RSVD
100
33
R137
R330
XIN
<500mil
Y2
U22
14.318MHZ
C137 33P
2
1
XOUT
CLK_EN#
33 CLK_EN#
13 STP_PCI#
6,13,33 STP_CPU#
CGCLK_SMB
CGDAT_SMB
SMbus address D2
R135 1
R140 1
SELPSB1_CLK
SELPSB2_CLK R427
23 TI_FLASH_48M
13 CLK48_USB
6,8 SELPSB1_CLK
6,8 SELPSB2_CLK
B
VDDA_CR
C131 33P
2
1
R148
1
*10K_NC
R150
2 SELPSB0_CLK
1
10K-0402
2
R158
1
*0_NC
R156
2 SELPSB1_CLK
1
*0_NC
2
R137
1
*0_NC
R330
2 SELPSB2_CLK
1
*10K_NC
2
2 10
2 10
SELPSB0_CLK
4.7K_4
VDDREF_CR
CLKVDD
+3VRUN
CLKVDD1
+VCCP
FSB and FSC are directly
controlled by Dothan-B
8 DOT96
8 DOT96#
XTAL_IN
49
XTAL_OUT
10
55
54
VTT_PWRGD#/PD#
PCI_STOP#
CPU_STOP#
12
16
53
FSA/USB_48
FSB/TEST_MODE
FSC/TEST_SEL
48
42
VDD_REF
VDD_CPU
1
7
VDD_SRC0
VDD_SRC1
VDD_SRC2
VDD48_CR
11
VDD_48
39
IREF
IREF
2
475/F
14
15
DOT96
DOT96#
2 49.9/F
2 49.9/F
REF
CPU0
CPU0#
44
43
R_HCLK_CPU
R_HCLK_CPU#
4
2
CPU1
CPU1#
41
40
R_HCLK_MCH
R_HCLK_MCH#
4
2
CPU2_ITP/SRC7
CPU2#_ITP/SRC7#
36
35
13
51
2
6
29
45
RP3
R151 1
R154 1
14M_REF
+3VRUN
3 RP2
1
4P2R-S-33
3 RP4
1
4P2R-S-33
SRC6
SRC6#
33
32
SRC5
SRC5#
31
30
R_MCH_3GPLL
R_MCH_3GPLL#
4
2
SRC4
SRC4#
26
27
R_PCIE_SATA
R_PCIE_SATA#
2
4
SRC3
SRC3#
24
25
R_PCIE_ICH
R_PCIE_ICH#
2
4
SRC2
SRC2#
22
23
SRC1
SRC1#
19
20
SRC0
SRC0#
17
18
R_DREFSSCLK
R_DREFSSCLK#
2
4
PCI5
PCI4
PCI3
PCI2
PCIF1
PCIF0/ITP_EN
5
4
3
56
9
8
R_PCLK_LAN
R_PCLK_PCM
R_PCLK_SIO
R_PCLK_MINI
R_PCLK_ICH
PCIF0
R125 1
R138 1
VDD_PCI_1
VDD_PCI_2
21
28
34
Iref=5mA,
Ioh=4*Iref
3 R_DOT96
1 R_DOT96#
SCLK
SDATA
2 49.9/F
2 49.9/F
52
CK-410M
46
47
CLKVDD
1
R332
4P2R-S-33
4
2
50
R157 1
R160 1
R136
1
24
2
R131
1
24
2
R141
1
24
2
A
14M_AC97 28
14M_SUPERIO 31
14M_ICH 13
HCLK_CPU 5
HCLK_CPU# 5
1
0
0
Place these termination to close
CK410M. Cause those Pin-out is
for Current-Mode.
DothanB
NC
NC
C161
*10P_NC
HCLK_MCH 7
HCLK_MCH# 7
2
0
DothanA
Install
NC
C171
*10P_NC
1
PCI
2
33
8
1
SRC
7
2
100
6
38
100
VSSA
1
37
0
5
VDDA
CPU
1
4
GND_48
GND_REF
GND_PCI_1
GND_PCI_2
GND_SRC
GND_CPU
FSC FSB FSA
3
1
A
笔记本图纸QQ107203753
2
2
1
CLK_MCH_3GPLL
3 RP6
CLK_MCH_3GPLL#
1
4P2R-S-33
CLK_PCIE_SATA
1 RP8
CLK_PCIE_SATA#
3
4P2R-S-33
CLK_PCIE_ICH
1 RP7
CLK_PCIE_ICH#
3
4P2R-S-33
C529
*10P
CLK_MCH_3GPLL 8
CLK_MCH_3GPLL# 8
CLK_PCIE_SATA 12
CLK_PCIE_SATA# 12
CLK_PCIE_ICH 13
CLK_PCIE_ICH# 13
B
R146 1
DREFSSCLK
1 RP5
DREFSSCLK#
3
4P2R-S-33
2 33_4
2 33_4
R134 1
2 33_4
R132 1
2 33_4
2 33_4
1
R130
2
10K-0402
DREFSSCLK 8
DREFSSCLK# 8
PCLK_LAN 25
PCLK_PCM 21
PCLK_551 32
PCLK_MINI 24
PCLK_ICH 12
CK-410M PIN
35,36 Strap
Pin. for ITP or
PCIE using.
+3VRUN
ICS954206/CY284XX
250mA ( MAX. )
2 33_4
PCLK_LPC 31
2
4
R123 1
3
1
CGCLK_SMB
C159
R121
1
C152
.047U/10V_4
2
.047U/10V_4
CGCLK_SMB 15
1
C168
2.2
2
4.7U/10V_8
CLK_MCH_3GPLL
R164 1
CLK_MCH_3GPLL# R166 1
2 49.9/F
2 49.9/F
CLK_PCIE_SATA
CLK_PCIE_SATA#
R177 1
R184 1
2 49.9/F
2 49.9/F
CLK_PCIE_ICH
CLK_PCIE_ICH#
R170 1
R172 1
2 49.9/F
2 49.9/F
DREFSSCLK
DREFSSCLK#
R159 1
R162 1
2 49.9/F
2 49.9/F
DOT96
DOT96#
R153 1
R155 1
2 49.9/F
2 49.9/F
VDD48_CR
1
RHU002N06
C
CLKVDD1
1
ACB2012L-120
2
2
120 ohms@100Mhz
1
+3VRUN
1
PCLK_SMB
Tie to GND (Logic 0) is for PCIE using.
L24
CGDAT_SMB 15
CT_0229: Change
MOS to
RHU002N06 due
to layout
concern.
+3VRUN
Q44
CGDAT_SMB
Tie to VCC (Logic 1) is for ITP using.
1
2
1
RHU002N06
These are for
backdrive
issue
13 PCLK_SMB
3
CT_0505: Change footprint to
TSSOP56-8_1-5 from
TSSOP56-240
Connect DDR
Module's
SMB
2
PDAT_SMB
1
3
13 PDAT_SMB
C
RP1
4P2R-S-10K
Q43
2
Connect
ICH6
SMB
C174
C147
2
4.7U/10V_8
2
.047U/10V_4
L30
.047U/10V_4
CLKVDD
C210
R142
1
1R
2
Place these termination to
close CK410M. Cause those
Pin-out is for Current-Mode.
VDDREF_CR
2
1
1
1
2
C197
C172
.047U/10V_4
4.7U/10V_8
2
.047U/10V_4
.047U/10V_4
C207
2
2
C199
1
1
.047U/10V_4
C184
2
120 ohms@100Mhz
1
1
2
ACB2012L-120
+3VRUN
2.2
2
2
.047U/10V_4
D
1
1
VDDA_CR
C194
C433
4.7U/10V_8
2
R163
1
D
Bypass CAPs need to
follow Bypass CAP.
Routing Rule, no vias
between CAP to CHIPSET
VCC Pin or GND.
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
CLOCK Generator
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
17
of
8
38
笔记本图纸QQ107203753
5
4
3
2
1
CN3
31
32
33
34
D
+3VALW
+3VRUN
D10
2
13 LIDICH#
1
R65
4.7K_4
R309
100K_4
BAS316
D11
LID551#
32 LID551#
1
DISPON
2
BAS316
C82
.1U/10V_4
SW4
3
4
1
2
MPU-101-6
3
+2_5VRUN
LID-SWITCH
Q37
2N7002
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
EDIDCLK
EDIDDATA
VIN
D
INT_TXLCLKOUT+
INT_TXLCLKOUT-
INT_TXLCLKOUT+ 8
INT_TXLCLKOUT- 8
INT_TXLOUT2+
INT_TXLOUT2-
INT_TXLOUT2+ 8
INT_TXLOUT2- 8
INT_TXLOUT1+
INT_TXLOUT1-
C85
C89
4.7U/25V-1210
1000P_4
INT_TXLOUT1+ 8
INT_TXLOUT1- 8
INT_TXLOUT0+
INT_TXLOUT0-
+3VRUN
INT_TXLOUT0+ 8
INT_TXLOUT0- 8
DISPON
BRIGHT 32
LCDID1 13
LCDID0 13
LCDID1
LCDID0
+3VRUN
R75
10K-0402
R73
10K-0402
EEDID_3V
LCD3V
C84
LCDID0
LCDID1
.1U/10V_4
LCD_CON30
INT_BLON
8 INT_BLON
1
3
C
2
C
1
2
2
Q11
2N7002
1
R308
100K_4
R322
2.2K_4
+2_5VRUN
R323
2.2K_4
1
8 I_EDIDCLK
+3VRUN
2
+2_5VRUN
32 EC_FPBACK#
EDIDCLK
3
BSS138 Q39
+2_5VRUN
+2_5VRUN
+3VRUN
B
B
R321
2.2K_4
2
R320
2.2K_4
1
8 I_EDIDDATA
EDIDDATA
3
BSS138 Q38
+3VRUN
+3VRUN
2
+2_5VRUN
R329
10K-0402
2
TRACE
80MIL
C128
1
8 INT_DISP_ON
INT_DISP_ON
1
Q42
U20
L21
3
.1U/10V_4
6
2N7002
R328
3
A
EC_FPBACK#
Q57
2N7002
IN
0_8
OUT
1
LCD3V_1
C127
C129
C98
C100
C111
.1U/10V_4
T10U/10V
.1U/10V_4
.01U/16V_4
T10U/10V
4
IN
GND
2
3
ON/OFF
GND
5
LCD3V
AAT4280_3
A
*0_4_NC
2
PROJECT : ED3
1
Quanta Computer Inc.
Size
Document Number
Rev
A3A
LCD Connector
Date:
5
4
3
2
Wednesday, June 15, 2005
Sheet
1
18
of
38
笔记本图纸QQ107203753
A
B
D
E
2
SUSLED_BLUE#
32 SUSLED_BLUE#
C
Q58
+5VSUS
*PDTC144EU
3
*10K_6
1
2
R446
Q35
R447
*PDTC144EU
*200_6
3
SUS_BLUE#
1
4
4
Reserved for EMI now pop 0ohm
2
SUSLED_AMBER#
32 SUSLED_AMBER#
Q56
*10K_6
1
2
R448
+5VSUS
*PDTC144EU
3
31 VGA_RED
L54 2
BK1005HS121
1
31 VGA_GRN
L55 2
BK1005HS121
1
31 VGA_BLU
L56 2
BK1005HS121
1
Q36
R449
*PDTC144EU
*200_6
3
SUS_AMBER#
1
PAD25
+5VRUN
C561
*10P_4
C562
*10P_4
C563
*10P_4
PAD6
1
PAD4
1
1
+3VRUN
U26
AHCT1G125DCH
8 INT_VSYNC
VGA_HSYNC
R453 2
4
1 39
2
31
31
31
31
12,31,32
*SU-27_NC
PAD26
1
3
*SU-27_NC
1
1
5
R167
1K-0402
PR_VSYNC
PR_HSYNC
PR_DDCCLK
PR_DDCDAT
M_SEN#
1
13
1
2
3
4
5
6
7
8
9
10
11
12
+5VRUN
2
*SU-27_NC
PAD28
10K_4
3
*SU-27_NC
CN5
14
.1U/10V_4
*SU-27_NC
R300
1
5
C218
2
1
3800-12
8 INT_HSYNC
VGA_VSYNC
2
R454 2
4
1 39
PAD23
1
U24
AHCT1G125DCH
+2_5VRUN
+5VRUN
*SU-27_NC
PAD3
R180
2.2K_4
R175
2.2K_4
Q23
2N7002
1
8 INT_DDCCLK
R179
2.2K_4
PAD2
1
R178
2.2K_4
*SU-27_NC
3
1
*SU-27_NC
PAD1
1
2
R171
0_4
+2_5VRUN
2
*SU-27_NC
PAD5
2
1
8 INT_DDCDAT
3
Q24
2N7002
*H-TS9BS8I3_8D2_8P2
HOLE19
HOLE11
HOLE15
*H-C315I150D110P2 *H-TC8BC9I3_8D2_8P2
*SU-27_NC
HOLE2
HOLE3
HOLE23
*H-C354I150D110P2 *H-C315I150D110P2
*H-C315I150D110P2
1
1
1
1
1
TV-Board fixing Nut
1
2
1
PAD7
PAD24
1
HOLE8
HOLE5
*H-C315I150D110P2 *H-C315I150D110P2
1
*SU-27_NC
HOLE22
*H-C315I150D110P2
1
1
1
1
1
1
1
HOLE17
HOLE12
*H-C315I150D110P2 *H-C315I150D110P2
1
HOLE20
HOLE4
HOLE16
*H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2
*SU-27_NC
CPU SOCKET
HOLE6
H-C236D157P2
1
HOLE14
HOLE13
HOLE10
*H-C315I150D110P2 *H-C315I150D110P2 *H-C315I150D110P2
HOLE21
*H-C315I150D110P2
HOLE18
*H-C315I150D110P2
HOLE7
H-C236D157P2
HOLE9
H-C236D157P2
1
HOLE1
*H-C315I150D110P2
1
1
1
1
1
1
1
1
1
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
CRT & TV Connector
Date:
A
B
C
D
Wednesday, June 15, 2005
Sheet
E
19
of
38
1
PATA HDD
笔记本图纸QQ107203753
2
3
SATA HDD
4
5
L29
+5VHDD
2
A
1
+3VRUN
+5VRUN
2
BLM18PG181SN1
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
R186
+3VHDD
L31
2
1
+3VRUN
1
+5VHDD
12,24 HDDLED#
+3VHDD
44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2
PDDREQ
PDIOW#
PDIOR#
PIORDY
PDDACK#
IRQ14
PDA1
PDA0
PDCS1#
HDDLED#
4.7K_4
BLM18PG181SN1
R174
7
6
5
4
3
2
1
SATA
7
8
HDD_CON
-IDERST
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0
1.5A
CN20
B
6
SATA_TXP0
SATA_TXN0
C435
1
2
*3900P
C436
1
2
*3900P
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
POP FOR MASTER
R187
CSEL1
1
PDD[0..15] 12
PDCS1#
PDCS3#
PDA0
PDA1
PDA2
PDIOR#
PDIOW#
PIORDY
IRQ14
PDDREQ
PDDACK#
PDCS1# 12
PDCS3# 12
PDA0 12
PDA1 12
PDA2 12
PDIOR# 12
PDIOW# 12
PIORDY 12
IRQ14 12
PDDREQ 12
PDDACK# 12
2
A
R182
470_4
PDIAG#
PDA2
PDCS3#
1
2
PDDREQ
*5.6K_NC
+5VHDD
CN19
10K-0402
SATA_RXP0_C 12
PDD[0..15]
43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1
SATA_RXN0_C 12
+5VHDD
SATA_RXN0
SATA_RXP0
SATA_RXN0 12
SATA_RXP0 12
C215
C221
.1U/16V_6
C220
1000P_4
+3VRUN
C214
4.7U_10V
+5VRUN
2
*SUYIN-200138_HDD
13 RST_HDD#
+5VHDD
8,12,13,31,32 PLTRST#
R191
0_4
R190
*0_4_NC
R409
*10K-0402
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
-IDERST
CDLED#
1
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0
2
2
C
3
3
Q48
*2N7002
1
1
-IDERST
3
CN25
HDDLED#
PDIOW#
PIORDY
IRQ14
PDA1
PDA0
PDCS1#
Q49
*2N7002
R469
CDLED#
24 CDLED#
+5VODD
R281
*10K-0402
RCSEL
2
0-0402
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
PDDREQ
PDIOR#
C
PDDACK#
PDIAG#
PDA2
PDCS3#
+5VODD
C385
C384
C386
C387
.1U/10V_4
1000P_4
1000P_4
150U/6.3V_7
CON50_LP
51
52
R282
*470_NC
+5VODD
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
51
52
13 ICH_GPO19
R192
10K-0402
Q27
DTC144EU
ODD
R410 *0_4
B
*10U_10V_NC
1
NC FOR SLAVE
D
D
+5VODD
L37
2
1
PROJECT : ED3
+5VRUN
BLM18PG121SN
Quanta Computer Inc.
2.0A
Size
Document Number
Rev
A3A
HDD & CDROM Connector
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
20
of
8
38
8
笔记本图纸QQ107203753
7
6
5
4
3
2
1
D
D
AD[0..31]
if -VR_EN pull-low ,
VR_PORT and VDPLL_15
will be 1.5V outpin.
C
C/BE0#
C/BE1#
C/BE2#
C/BE3#
C/BE0#
C/BE1#
C/BE2#
C/BE3#
AD17
C326 *10P_4
R259
R246
100/F
*68_4
17 PCLK_PCM
PAR
SERR#
PERR#
STOP#
IRDY#
TRDY#
PCIRST#
DEVSEL#
FRAME#
12,24,25 PAR
12,24,25 SERR#
12,24,25 PERR#
12,24,25 STOP#
12,24,25 IRDY#
12,24,25 TRDY#
12,24,25 PCIRST#
12,24,25 DEVSEL#
12,24,25 FRAME#
12 GNT1#
12 REQ1#
B
12,24,25,31 PME#
+3VSUS
W13
N11
U12
V12
W12
R11
U11
V11
N10
R10
U10
V10
N9
R9
U9
V9
R7
U6
V6
W6
P6
R6
U5
V5
V4
U4
V3
W2
U3
V2
V1
U2
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
W11
W9
W7
W4
C/BE0#
C/BE1#
C/BE2#
C/BE3#
W5
IDSEL
P5
PCLK
VCCP0
VCCP1
VR_EN#
W3
W10
C451
C461
H2
R372
VR_PORT0
VR_PORT1
H1
M19
VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
VCC7
VCC8
VCC9
VCC10
VCC11
VCC12
VCC13
H8
H9
H10
H11
H12
J8
J12
K8
K12
M7
M9
M10
M12
N7
GND0
GND1
GND2
GND3
GND4
GND5
GND6
GND7
GND8
GND9
GND10
GND11
GND12
GND13
GND14
GND15
G7
G8
G13
H13
J9
J10
J11
K9
K10
K11
L8
L9
L10
L11
L12
M8
DATA
CLOCK
LATCH
N1
L6
N2
.1U/10V_4
.1U/10V_4
0_4
C465
C250
.1U/10V_4
.1U/10V_4
C338
C459
C462
C456
C452
C449
C464
C315
C457
C342
C450
C458
C447
C453
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
+3VSUS
CORE LOGIC
PWR
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
PCI BUS
12,24,25 AD[0..31]
12,24,25
12,24,25
12,24,25
12,24,25
+3VSUS
P9
U8
V8
W8
U7
R8
R3
N8
V7
T2
U1
PAR
SERR#
PERR#
STOP#
IRDY#
TRDY#
PRST#
DEVSEL#
FRAME#
GNT#
REQ#
GRST#
T1
T3
L7
R2
MFUNC0
MFUNC1
MFUNC2
MFUNC3
MFUNC4
RIOUT#/PME#
MFUNC5
SPKROUT
MFUNC6
SUSPEND#
Multifunction&
Miscellaneous
N3
M5
P1
P2
P3
N5
R1
+3VSUS
R450
TPSDATA 22
TPSCLOCK 22
TPSLATCH 22
100K
GRST#
2 *0_NC
1
R441
D25 *1SS355_NC
1
2
PCIRST#
GRST#_7411 32
C547
0.22U
R270
PIRQA# 12,25
PIRQC# 12
PIRQD# 12,24
SERIRQ 12,13,24,31,32
PLOCK# 12
CARD_LED 22,24
+3VSUS
10K-0603
IOPJ2-7 of
NS97551 have
internally
weak pull up
P19
P17
P18
M13
N15
N18
N19
M15
M14
M17
L19
L18
L17
L15
K18
L13
K17
G17
H15
H14
F18
G15
E19
E17
D18
C19
D17
C16
A17
B16
A16
B15
B_D3/B_CAD0
B_D4/B_CAD1
B_D11/B_CAD2
B_D5/B_CAD3
B_D12/B_CAD4
B_D6/B_CAD5
B_D13/B_CAD6
B_D7/B_CAD7
B_D15/B_CAD8
B_A10/B_CAD9
B_CE2#/B_CAD10
B_OE#/B_CAD11
B_A11/B_CAD12
B_IORD#/B_CAD13
B_A9/B_CAD14
B_IOWR#/B_CAD15
B_A17/B_CAD16
B_A24/B_CAD17
B_A7/B_CAD18
B_A25/B_CAD19
B_A6/B_CAD20
B_A5/B_CAD21
B_A4/B_CAD22
B_A3/B_CAD23
B_A2/B_CAD24
B_A1/B_CAD25
B_A0/B_CAD26
B_D0/B_CAD27
B_D8/B_CAD28
B_D1/B_CAD29
B_D9/B_CAD30
B_D10/B_CAD31
M18
K14
G18
F15
B_CE1#/B_CCBE0#
B_A8/B_CCBE1#
B_A12/B_CCBE2#
B_REG#/B_CCBE3#
H18
G19
J13
H17
H19
J17
K13
J18
B18
E18
J15
B19
J19
A18
F17
N17
K15
C18
F19
N13
B17
C17
F14
B_A16/B_CCLK
B_A23/B_CFRAME#
B_A15/B_CIRDY#
B_A22/B_CTRDY#
B_A21/B_CDEVSEL#
B_A20/B_CSTOP#
B_A13/B_CPAR
B_A14/B_CPERR#
B_WAIT#/B_CSERR#
B_INPACK#/B_CREQ#
B_WE#/B_CGNT#
B_READY/B_CINT#
B_A19/B_CBLOCK#
B_WP/B_CCLKRUN#
B_RESET/B_CRST#
B_D14/B_CRSV
B_A18/B_CRSV
B_VS1#/B_CVS1
B_VS2#/B_CVS2
B_CD1#/B_CCD1#
B_CD2#/B_CCD2#
B_BVD2/B_CAUDIO
B_BVD1/B_CSTSCHG
A15
B_D2/B_CRSV
PC CARD / CARD BUS INTERFACE
U27B
U27A
A_D3/CAD0
A_D4/CAD1
A_D11/CAD2
A_D5/CAD3
A_D12/CAD4
A_D6/CAD5
A_D13/CAD6
A_D7/CAD7
A_D15/CAD8
A_A10/CAD9
A_CE2#/CAD10
A_OE#/CAD11
A_A11/CAD12
A_IORD#/CAD13
A_A9/CAD14
A_IOWR#/CAD15
A_A17/CAD16
A_A24/CAD17
A_A7/CAD18
A_A25/CAD19
A_A6/CAD20
A_A5/CAD21
A_A4/CAD22
A_A3/CAD23
A_A2/CAD24
A_A1/CAD25
A_A0/CAD26
A_D0/CAD27
A_D8/CAD28
A_D1/CAD29
A_D9/CAD30
A_D10/CAD31
E14
B14
A14
E13
C14
A13
F12
C13
E12
A12
B12
C12
B11
C11
G11
E11
A10
A7
B7
C7
G9
B6
C6
B5
E6
A4
B4
B1
C2
D3
C1
D1
CAD0 22
CAD1 22
CAD2 22
CAD3 22
CAD4 22
CAD5 22
CAD6 22
CAD7 22
CAD8 22
CAD9 22
CAD10 22
CAD11 22
CAD12 22
CAD13 22
CAD14 22
CAD15 22
CAD16 22
CAD17 22
CAD18 22
CAD19 22
CAD20 22
CAD21 22
CAD22 22
CAD23 22
CAD24 22
CAD25 22
CAD26 22
CAD27 22
CAD28 22
CAD29 22
CAD30 22
CAD31 22
A_CE1#/CCBE0#
A_A8/CCBE1#
A_A12/CCBE2#
A_REG#/CCBE3#
G12
B10
F9
C5
CCBE0#
CCBE1#
CCBE2#
CCBE3#
E9 SKTAPCLKR
C8
B8
A8
C9
A9
G10
F10
B3
E7
B9
C4
E10
C3
A6
B13
C10
A3
E8
C15
E5
A2
B2
CFRAME# 22
CIRDY# 22
CTRDY# 22
CDEVSEL# 22
CSTOP# 22
CPAR 22
CPERR# 22
CSERR# 22
CREQ# 22
CGNT# 22
CINT# 22
CBLOCK# 22
CCLKRUN# 22
CRST# 22
A_D14/CRSV 22
A_A18/CRSV 22
CVS1 22
CVS2 22
CCD1# 22
CCD2# 22
CAUDIO 22
CSTSCHG 22
A_A16/CCLK
A_A23/CFRAME#
A_A15/CIRDY#
A_A22/CTRDY#
A_A21/CDEVSEL#
A_A20/CSTOP#
A_A13/CPAR
A_A14/CPERR#
A_WAIT#/CSERR#
A_INPACK#/CREQ#
A_WE#/CGNT#
A_READY/CINT#
A_A19/CBLOCK#
A_WP/CCLKRUN#
A_RESET/CRST#
A_D14/CRSV
A_A18/CRSV
A_VS1#/CVS1
A_VS2#/CVS2
A_CD1#/CCD1#
A_CD2#/CCD2#
A_BVD2/CAUDIO
A_BVD1/CSTSCHG
A_D2/CRSV
D2
C
22
22
22
22
B
A_D2/CRSV 22
VCCCB
D19
K19
PCI7411
VCCB0
VCCB1
VCCA0
VCCA1
CB1
CB2
A5
A11
.1U/10V_4
.1U/10V_4
R368
PCI7411
10K-0603
D22
28 PCMSPK
SKTAPCLKR R365
1 1SS355
2
C460
R376
10_4
CCLK 22
*10P_6_NC
10K-0402
+3VSUS
13,31 LPCPD#
R371
1
2 *0_NC
Item74
GRST#
GRST# 22
A
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Rev
A3A
PCMCIA TI7411
Date:
8
7
6
5
4
3
Wednesday, June 15, 2005
2
Sheet
21
of
1
38
B
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
VPP1
A16- CCLK
A15- CIRDY
A12- CCBE2
A7 - CAD18
A6 - CAD20
A5 - CAD21
A4 - CAD22
A3 - CAD23
A2 - CAD24
A1 - CAD25
A0 - CAD26
D0 - CAD27
D1 - CAD29
D2 - RFU
WP,IOIS16-CKRUN
GND
GND
CD1- CCD1
D11- CAD2
D12- CAD4
D13- CAD6
D14- RFU
D15- CAD8
CE2- CAD10
RFSH,VS*1-CVS1
IORD-CAD13
IOWR-CAD15
A17- CAD16
A18- RFU
A19- CBLOCK
A20- CSTOP
A21- CDEVSEL
VCC
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
VPP2
A22- CTRDY
A23- CFRAME
A24- CAD17
A25- CAD19
NC - CVS2
RESET-CRST
WAIT-CSERR
INPACK-CREQ
REG- CCBE3
BVD2,SP-CAUDIO
BVD1,STSCHG-C*
D8 - CAD28
D9 - CAD30
D10- CAD31
CD2- CCD2
GND
MSCLK/SDCLK/-SMELWP
SANTA-1306-68P
VPPCB
VCCCB
21 GRST#
F5
E3
F6
MS_CD#
SD_CD#
SM_CD#
R375
G5
F3
H5
G3
G2
G1
MS_CLK//SD_CLK//SM_EL_WP#
MS_BS//SD_CMD//SM_WE#
MS_DATA3//SD_DAT3//SM_D3
MS_DATA2//SD_DAT2//SM_D2
MS_DATA1//SD_DAT1//SM_D1
MS_SDIO(DATA0)//SD_DAT0//SM_D0
SM_RE#
SM_ALE
J5
J3
SM_RE#
SM_ALE
SM_D4
SM_D5
SM_D6
SM_D7
SD_WP/-SMCE
H3
J6
J1
J2
H7
SM_D4
SM_D5
SM_D6
SM_D7
SD_WP//SM_CE#
T79
J7
K1
K2
SM_CLE//SC_GPIO0
SM_R/B#//SC_RFU
SM_PHYS_WP#//SC_FCB
T130
L5
SC_PWR_CTRL
T75
L2
SC_CD#
T78
T69
K5
K3
SC_CLK
SC_RST
T77
T131
L1
L3
SC_DATA
SC_OC#
33_4MSCLK/SDCLK/-SMELWP_PCI7411
MSBS/SDCMD/-SMWE
MS/SD/SM D3
MS/SD/SM D2
MS/SD/SM D1
MS/SD/SM D0
SM_CLE/SC_GPIO0
SM_R/B#/SC_RFU
IRLML5103
Rds(on)=0.6
+3VSUS
Q46
IRLML5103
3
1
MB_VCC
C511
+3VSUS
C503
R387
.1U/10V_4
8.2K
R217
+5VSUS
10K-0603 SC_VCC5V K7
D
Six For 1 Card
C
SC_VCC_5V
PCI7411
R397
10U_6.3V_8
MC_CTRL0#
TPSDATA
TPSCLOCK
TPSLATCH
C141
C142
C143
+3VSUS
VCCCB
C134
C133
C132
.1U/10V_4
.1U/10V_4
10U_6.3V_8
C135
C136
.1U/10V_4
10U_6.3V_8
VPPCB
D23
*1SS355
21,24 CARD_LED
-SDCD
5V_0
5V_2
5V_1
NC_4
DATA
NC_3
CLOCK
SHDN#
LATCH
12V_1
NC_1
BVPP/BVCORE
12V_0
BVCC1
AVPP/AVCORE
BVCC0
AVCC0
NC_2
AVCC1
OC#
GND
3.3VIN0
RESET#
3.3VIN1
*100K_NC
Q47
*IRLML5103
3
1
*10P_4_NC
*10P_4_NC
*10P_4_NC
D24
*1SS355
TI Erratum
4 IN1 CARD READER
(XD,MMC/SD,MS)
B
-SMCD
MB_VCC
MB_VCC
CN10
-SDCD
MS/SD/SM D2
MS/SD/SM D3
MSBS/SDCMD/-SMWE
24
23
22
21
20
19
18
17
16
15
14
13
TPS2220A (DB)
25
A
MC_PWR_CTRL_0
MC_PWR_CTRL_1
-MSCD
-SDCD
-SMCD
3. A 56 Ohm damping resistor for MS_CLK and SD_CLK
should be placed near the PCI7411 source.
NC_5
TPSDATA
TPSCLOCK
TPSLATCH
21 TPSDATA
21 TPSCLOCK
21 TPSLATCH
F1
F2
T68
1. Signal traces should be 60 Ohm +/- 10%.
2. All signal traces should be routed with equal
propagation delay, and with trace lengths as short
as practical.
C488
C491
4.7U/10V_8
.1U/10V_4
+5VSUS
C145
C178
10U_6.3V_8.1U/10V_4
MSCLK/SDCLK/-SMELWP
MS/SD/SM D0
MS/SD/SM D1
SD_WP/-SMCE
U21
1
2
3
4
5
6
7
8
9
10
11
12
U27C
MC_CTRL0#
Flash Media Layout Guidelines:
+3VSUS
+5VSUS
1
2
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
MC_PWR_CTR low
active(default)
, or change
register to high
active
1
VPPCB
21 CTRDY#
21 CFRAME#
21 CAD17
21 CAD19
21 CVS2
21 CRST#
21 CSERR#
21 CREQ#
21 CCBE3#
21 CAUDIO
21 CSTSCHG
21 CAD28
21 CAD30
21 CAD31
21 CCD2#
GND
D3 - CAD0
D4 - CAD1
D5 - CAD3
D6 - CAD5
D7 - CAD7
CE1- CCBE0
A10- CAD9
OE - CAD11
A11- CAD12
A9 - CAD14
A8 - CCBE1
A13- CPAR
A14- CPERR
WE/PGM - CGNT
RDY/BSY,IRQ*INT
VCC
2
2
21 CCD1#
21 CAD2
21 CAD4
21 CAD6
21 A_D14/CRSV
21 CAD8
21 CAD10
21 CVS1
21 CAD13
21 CAD15
21 CAD16
21 A_A18/CRSV
21 CBLOCK#
21 CSTOP#
21 CDEVSEL#
VCCCB
C
3
PCMCIA SOCKET
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
2
VPPCB
21 CCLK
21 CIRDY#
21 CCBE2#
21 CAD18
21 CAD20
21 CAD21
21 CAD22
21 CAD23
21 CAD24
21 CAD25
21 CAD26
21 CAD27
21 CAD29
21 A_D2/CRSV
21 CCLKRUN#
4
GND
GND
GND
GND
GND
GND
21 CAD0
21 CAD1
21 CAD3
21 CAD5
21 CAD7
21 CCBE0#
21 CAD9
21 CAD11
21 CAD12
21 CAD14
21 CCBE1#
21 CPAR
21 CPERR#
21 CGNT#
21 CINT#
VCCCB
5
2
CN4
D
笔记本图纸QQ107203753
6
1
7
69
70
71
72
73
74
8
MSCLK/SDCLK/-SMELWP
MS/SD/SM D3
-MSCD
MS/SD/SM D2
MS/SD/SM D0
MS/SD/SM D1
MSBS/SDCMD/-SMWE
C144
4.7U/10V_8
+3VSUS
C180
4.7U/10V_8
C181
10U_6.3V_8
C179
.1U/10V_4
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
CD_SD
DAT2_SD
CD/DAT3_SD
CMD_SD
VSS_SD
VDD_SD
CLK_SD
VSS_SD
DAT0_SD
DAT1_SD
WP_SD
VSS_MS
VCC_MS
SCLK_MS
RESERVE_MS
INS_MS
RESERVE_MS
SDIO_MS
RESERVE_MS
BS_MS
VSS_MS
GND
VCC
43
VCC_XD
D7_XD
D6_XD
D5_XD
D4_XD
D3_XD
D2_XD
D1_XD
D0_XD
GND_XD
-WP_XD
-WE_XD
ALE_XD
CLE_XD
-CE_XD
-RE_XD
R/-B_XD
GND_XD
GND
GND
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
42
SM_D7
SM_D6
SM_D5
SM_D4
MS/SD/SM D3
MS/SD/SM D2
MS/SD/SM D1
MS/SD/SM D0
MSCLK/SDCLK/-SMELWP
MSBS/SDCMD/-SMWE
SM_ALE
SM_CLE/SC_GPIO0
SD_WP/-SMCE
SM_RE#
SM_R/B#/SC_RFU
-SMCD
A
MSX039-X0-0X00
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Rev
A3A
PCMCIA Socket & 4-IN-1
Date:
8
7
6
5
4
3
Wednesday, June 15, 2005
2
Sheet
22
of
1
38
笔记本图纸QQ107203753
3
4
6
P15
C255
*5.6P_NC
CPS
M11
TPA0+
TPA00 TPB0+
TPB0TPBIAS0
V15
W15
V14
W14
U15
+1394TPA0
-1394TPA0
+1394TPB0
-1394TPB0
1394BIAS0
R0
U18
1394_R0
R1
U19
XO
R19
A
R224
R235
R357
R363
R216
EEPROM BUS
SDA
SCL
PHY PORT 1
TPA1+
TPA1TPB1+
TPB1TPBIAS1
POWER CLASS
PC0
PC1
PC2
M2
M3
V18
W18
V16
W16
U17
VDPLL_15
T18
L1394_TPA0-
3
L1394_TPB0+
2
L1394_TPB0-
3
1394_SDATA
1394_SCLK
C440 12P_4
R369
R373
R370
R374
2.7K_4
2.7K_4
*220_4_NC
*220_4_NC
+1394TPA1
-1394TPA1
+1394TPB1
-1394TPB1
1394BIAS1
R359
R358
R215
R214
56.2/F_6
56.2/F_6
56.2/F_6
56.2/F_6
PC0
R364
R366
R239
R238
R244
R243
*0_4_NC
0_4
*0_4_NC
0_4
*0_4_NC
0_4
C249
J1
020115FB004S504ZL
4
F_TPA0N
1
F_TPB0P
4
F_TPB0N
5
4
3
2
1
6
24.576MHz
1394X2-10MIL
C
L1394_TPA0+
L3
PLW3216S900SQ2T1
<PN>
F_TPA0P
2
1
C443 12P_4
1394X1-10MIL
R12
U13 PC1
V13 PC2
5.11K/F_6
220P_6
10MIL
1394_R1
R18
1U/10V_6
+3VSUS
C442
3
XI
C278
R348
C455
2
B
56.2/F_6
56.2/F_6
56.2/F_6
56.2/F_6
6.34K/F_6
Y4
6X24500018
CRYSTAL
C448
*5.6P_NC
4
BIAS CURRENT
C445
*5.6P_NC
1
PHY PORT
C273
*5.6P_NC
2
A
8
L1394_TPA0+
L1394_TPA0L1394_TPB0+
L1394_TPB0-
T119
CNA
7
3
1394(FIREWIRE)
5
4
2
U27D
1
1
B
L4
PLW3216S900SQ2T1
<PN>
1U/10V_6
R213
C245
5.11K/F_6
220P_6
+3VSUS
+3VSUS
U28
1394_SCLK
1394_SDATA
6
5
SCL
SDA
7
WP
+3VSUS
A0
A1
A2
1
2
3
VCC
GND
8
4
+3VSUS
C
C361
NM24C02
.1U/10V_4
.1U/10V_4
L35
T52
W17
T51
T19
RSVD
P12
TEST0
R362
220_6
NC
T74
E2
A_USB_EN#
T73
E1
B_USB_EN#
VDPLL_33
V19
AVDD0
AVDD1
AVDD2
R13
R14
V17
PHY_TEST_MA
R17
VDPLL-33 1
C444
C441
C454
R220
M1
C463
2
+3VSUS
.1U/10V_4
.1U/10V_4
.1U/10V_4
4.7K_4
+3VSUS
C446
D
17 TI_FLASH_48M
0_8
AGND0
AGND1
AGND2
VSSPLL0
VSSPLL1
CLK_48
C251
C246
D
100P_4 .1U/10V_410U_6.3V_8
N12
U14
U16
P14
T17
PROJECT : ED3
Quanta Computer Inc.
Size
R367
*2.2P_4
Date:
PCI7411
1
Document Number
Rev
A3A
IEEE1394
*68_4
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
23
of
8
38
笔记本图纸QQ107203753
3
4
5
6
7
8
USBPWR0
+5VRUN
EN1#
4
OUT1
OC1#
7
8
USBPWR0
OUT2
OC2#
6
5
USBPWR1
1
4
4
3
2
1
2
3
CML1
USB
C8
.01U/16V_4
C403
C401
+
150U/6.3V_7 .01U/16V_4
A
L2
BLM21PG600SN1
USBPWR1
2
+
2
R303
1K-0402
Each channel is
1A
CN13
DLW21HN900SQ2L
1
4
13 USBP113 USBP1+
4
3
2
1
2
3
CML2
17 PCLK_MINI
12 REQ2#
12,21,25 AD31
12,21,25 AD29
12,21,25 AD27
12,21,25 AD25
12,21,25 C/BE3#
12,21,25 AD23
B
C/BE3#
12,21,25 AD21
12,21,25 AD19
12,21,25 AD17
12,21,25 C/BE2#
12,21,25 IRDY#
12,25,31,32 CLKRUN#
12,21,25 SERR#
12,21,25 PERR#
12,21,25 C/BE1#
12,21,25 AD14
C/BE2#
IRDY#
SERR#
PERR#
C/BE1#
12,21,25 AD12
12,21,25 AD10
12,21,25 AD8
12,21,25 AD7
12,21,25 AD5
12,21,25 AD3
+5VRUN
12,21,25 AD1
+5VRUN
C
USBOC4# 13
*G528
R1
C2
+5VRUN
TV Board R3 ,R4
need to change
BOM to 150ohm
USBPWR4
CN1
C5
10
9
8
7
6
*1K-0402
PIRQB# 12
SOIC8-6-1_27
*.01U/16V_4
*150U/6.3V_7
8 TV_Y/G
8 TV_C/R
+3V_S5
PCIRST# 12,21,25
+3VSUS
TV_Y/G
TV_C/R
AD28 12,21,25
AD26 12,21,25
AD24 12,21,25
AD20
PAR
FRAME#
TRDY#
STOP#
DEVSEL#
AD22
AD20
PAR
AD18
AD16
1
32 PWR_BLUE#
USBP4+ 13
USBP4- 13
USBPWR4
3
+3VRUN
+3VSUS
LED1 LED_BLUE/ORANGE
AD19
12,21,25
12,21,25
12,21,25
12,21,25
12,21,25
3
1
R6
560_6
+5VSUS
4
2
R411
200_6
+5VSUS
Q51
C339
.1U/10V_4
B
Q32
3 PDTC144EU
1
32 PWR_AMBER#
R258
VIN
1M
FRAME# 12,21,25
TRDY# 12,21,25
STOP# 12,21,25
2N7002
2
CN8
Q31
PDTC144EU
2
32 BT_PWRON#
BT_VCC
8
DEVSEL# 12,21,25
7
RF_LED
AD15 12,21,25
AD13 12,21,25
AD11 12,21,25
C/BE0#
2
3
CML4
OPTION (DOCKING ON OR S-VEDIO Board ON)
Q50
PDTC144EU
AD30 12,21,25
150/F_4
1
4
*2940-010
GNT2# 12
MINI_PME#
R193
*DLW21HN900SQ2L
1
2
3
4
5
3
*22_4
5
6
7
8
1
R188
OC#
VOUT
VOUT
VOUT
3
C225 *10P_4
EN#
VCC
VCC
GND
*0
6
5
Q26
PDTC144EU
AD9 12,21,25
C/BE0# 12,21,25
AD6
AD4
AD2
AD0
R458
1
12,21 PIRQD#
4
3
2
1
RF_EN
R464
4
0
1
13 BT_USBP3+
3
3
12,21,25
12,21,25
12,21,25
12,21,25
13 BT_USBP32
BT_LED
1
SERIRQ 12,13,21,31,32
BT_LED
R459
0
SM08B-SURS-8P_BLUE
LED8 LED_BLUE/ORANGE
Item136
1
3
3
1
R334
560_6
4
2
R333
560_6
+5VRUN
+3VALW
Q25
PDTC144EU
PDTC144EU
IT-1188E
+3VSUS
+3VRUN
SW7
3
1
Q20
R161
4
2
4.7K_4
LED6 LED_BLUE/ORANGE
R101
32 BATLED_BLUE#
1
3
MINIPCI_TYPE_III
3
1
2
1 560_6
4
2
2
1 560_6
+3VALW
+5VALW
C
5
2
U1
2
D16 1
BAS316
+5VRUN
+3VRUN
2
32 RF_EN
RF_LED
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
2
: GNT2#
RING
LAN2
LAN4
LAN6
LAN8
LED_YP
LED_YN
NC2
+5V
-INTA
R(IRQ4)
+3VAUX
-RST
+3V
-GNT
GND
-PME
(V)
AD30
+3V
AD28
AD26
AD24
IDSEL
GND
AD22
AD20
PAR
AD18
AD16
GND
-FRAME
-TRDY
-STOP
+3V
-DEVSEL
GND
AD15
AD13
AD11
GND
AD9
-CBE0
+3V
AD6
AD4
AD2
AD0
(V)
SERIRQ
GND
M66EN
SDOUT
SDIN1
-RESET
-MPCICACK
AGND
+SPK
-SPK
AGND
NC4
+3VAUX
126 GND
Grant Indicate
TIP
LAN1
LAN3
LAN5
LAN7
LED_GP
LED_GN
NC1
-INTB
+3V
R(IRQ3)
GND
PCICLK
GND
-REQ
+3V
AD31
AD29
GND
AD27
AD25
(V)
-CBE3
AD23
GND
AD21
AD19
GND
AD17
-CBE2
-IRDY
+3V
-CLKRUN
-SERR
GND
-PERR
-CBE1
AD14
GND
AD12
AD10
GND
AD8
AD7
+3V
AD5
(V)
AD3
+5V
AD1
GND
SYNC
SDIN0
BITCLK
-AC_PRIMARY
BEEP
AGND
+MIC
-MIC
AGND
-RI
+5VA
125 GND
Request Indicate : REQ2#
1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
USB
MINI-PCI
CN22
+3VRUN
6
: INTB# , INTD#
5
6
7
8
2
: AD19
Interrupt Pin
2
ID Select
5
6
7
8
USBOC1# 13
C11
150U/6.3V_7
R302
1K-0402
A
CN12
DLW21HN900SQ2L
13 USBP013 USBP0+
USBOC0# 13
1
EN2#
GND
1
1
IN
3
C402
.1U/10V_4
L1
BLM21PG600SN1
TPS2062
2
1
U4
2
9
2
10
1
RF_SW# 32
R100
2
Orange Forward Voltage 2.0~2.4
+3V_S5
BT_SW# 32
Blue Forward Voltage 3.4~3.8
PDTC144EU
DC Forward Current 20mA
3
12,21,25,31 PME#
32 BATLED_AMBER#
R195
Q28
2N7002
R194
1
3
Q21
4.7K_6
10K-0603
LED2
3
1
3
2
12,20 HDDLED#
Q29
2N7002
20 CDLED#
LED_BLUE/ORANGE
R17
1
2
R20
560_6
+5VRUN
32 NBSWON#
+3VRUN
MINI_PME#
32 BT1#
1
PDTC144EU LED3
3
1
3
4
5
MISAKI_TC004-PS11AT
Q7
32 CAPSLED#
SW1
1
2
*560_6
2
2
4
BLUE_LED
R23
SW2
1
2
3
4
5
560_6
MISAKI_TC004-PS11AT
2
+3VRUN
32 BT2#
Q9
32 SCROLED#
PDTC144EU LED4
3
1
BLUE_LED
R44
560_6
D
2
Forward Voltage 2.8~3.05
Q12
32 NUMLED#
3
4
5
MISAKI_TC004-PS11AT
BLUE_LED
+3VRUN
D
SW3
1
2
DC Forward Current 20mA
PDTC144EU LED5
3
1
BLUE_LED
R52
560_6
21,22 CARD_LED
2
PROJECT : ED2
Quanta Computer Inc.
LED7
1
3
BLUE_LED
R147
560_6
1
2
3
4
5
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
Mini PCI, T/P, USB, LED
Q22
PDTC144EU
6
7
Sheet
24
8
of
38
笔记本图纸QQ107203753
5
4
3
2
1
R116
RTL8110SBL(1G)--POP
RTL8100L(10,100)--DEPOP
R117
RTL8110SBL(1G)--DEPOP
RTL8100L(10,100)--POP
+3V_LAN_A
+3V_S5
+2P5V_LAN
+3V_LAN_D
+3V_LAN_D
+3V_LAN_D
DVDD_LAN
L26
BK2125HS220
+5VRUN
R112
10K_4
C206
C156
22U-1206
.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_4.1U/10V_410U/10V_8
C167
C213
C198
C216
C219
C188
R117
0_4
R116
*0__NC_4
D
R119
R111 *0_4_NC
LAN_PME#
PME# 12,21,24,31
LAN_PME# 32
1K-0402
+3V_S5
+3V_S5
ISOLATEB
EEDI
EESEL
EECLK
EEDO
+3V_LAN_A
DVDD_LAN
+3V_S5
AVDDL
ISOLATEB
C200
*100P-0402_NC
T35
R118
CLKRUN# 12,24,31,32
U25
R185
3.6K
15K/F_4
EESEL
EECLK
EEDI
EEDO
RTL81XX's power for Co-lay :
AS
AS
AS
RTL8110SBL(Giga-Lan) AVDDL CONNECT
+2.5V_A
RTL8100L(10,100M-Lan) AVDDL CONNECT
+3V_LAN_A
RTL8110SBL(Giga-Lan) HSDAC- CONNECT
+3V_LAN_A
RTL8100L(10,100M-Lan) HSDAC- CONNECT
+2.5V_A
RTL8110SBL(Giga-Lan) DVDD
CONNECT
+1.8V_D
RTL8100L(10,100M-Lan) DVDD
CONNECT
+2.5V_A
12,21,24 C/BE0#
12,21,24 C/BE1#
12,21,24 C/BE2#
12,21,24 C/BE3#
17 PCLK_LAN
C/BE0#
C/BE1#
C/BE2#
C/BE3#
PCLK_LAN
AD0 104
AD1 103
AD2 102
AD3
98
AD4
97
AD5
96
AD6
95
AD7
93
AD8
90
AD9
89
AD10 87
AD11 86
AD12 85
AD13 83
AD14 82
AD15 79
AD16 59
AD17 58
AD18 57
AD19 55
AD20 53
AD21 50
AD22 49
AD23 47
AD24 43
AD25 42
AD26 40
AD27 39
AD28 37
AD29 36
AD30 34
AD31 33
92
77
60
44
28
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31
CBE0B
CBE1B
CBE2B
CBE3B
CLK
R120
*22
B
PCI
EEPROM
64
88
65
8
RTL8110SBL/8100CL
76
25
29
27
30
75
63
61
46
68
67
70
69
127
74
72
10
16
11
12
121
122
120
125
126
116
110
24
19
18
15
14
6
5
2
1
117
115
114
113
PAR
SERR#
IRDY#
FRAME#
R145
DEVSEL#
TRDY#
PERR#
STOP#
R_AD24
PAR 12,21,24
PIRQA# 12,21
GNT0# 12
PCIRST# 12,21,24
REQ0# 12
SERR# 12,21,24
IRDY# 12,21,24
FRAME# 12,21,24
0_6 AD24
VCC
NC
NC
GND
8
7
6
5
C224
.1U/10V_4
RTL8110SBL(1G)--POP 2.49K
RTL8100L(10,100M) POP 5.6K
DEVSEL# 12,21,24
TRDY# 12,21,24
PERR# 12,21,24
STOP# 12,21,24
C
R139
R127
*2.49K_NC
5.6K
+3V_LAN_A
AVDDL
HSDAC+
HSDACLAN_XIN
LAN_XOUT
R113
LAN_XIN
+3V_LAN_A
CTRL18
DVDD_LAN
TRD3N
TRD3P
TRD2N
TRD2P
TRD1N
TRD1P
TRD0N
TRD0P
ACTLED#
100M_LINK#
10M_LINK#
1000M_LINK#
*0_6_NC
TRD3N 26
TRD3P 26
TRD2N 26
TRD2P 26
TRD1N 26
TRD1P 26
TRD0N 26
TRD0P 26
ACTLED# 26
100M_LINK# 26
10M_LINK# 26
1000M_LINK# 26
R114
R115
C177
27P
R149
*1M_NC
LAN_XOUT
DVDD_LAN
4
35
52
100
80
17
128
124
21
51
66
81
91
101
38
119
123
62
13
48
73
9
22
112
118
C150
*10P_4
PAR
INTAB
GNTB
VDD33---+3.3V DIGITAL
PM
RSTB
AVDDH------+3.3V ANALOG
REQB
AVDDL-----+2.5V ANALOG
SERRB
DVDD-----+1.8V ANALOG
IRDYB
DVDD_A----+1.8VANALOG
FRAMEB
IDSEL
PCI
DEVSELB
TRDYB
PERRB
STOPB
RSET
SMBCLK
SMBCLK
AVDDH
AVDDL
HSDAC+
HSDACXTAL1
XTAL2
HV
CTRL18
VDD18
VDD18
VDD18
RESERVE
VDD18
MDI3MDI3+
MDI2MDI2+
MDI1MDI1+
MDI0MDI0+
LED0
LED1
LED2
LED3
POWER
1
12,21,24 AD[0..31]
CS
SK
DI
DO
93C46-3GR
*0_4_NC +3V_LAN_A
0_4
+2P5V_LAN
Y3
25.0000 MHz
C166
27P
2
C193
VDD18
M66EN
CLKRUNB
CTRL25
.1U/10V_4 10U/10V_8
VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
VDD33
AVDDL
AVDDL
AVDDL
VDD18
VDD18
VDD18
VDD18
VDD18
EEDI
EECS
EESK
EEDO
PMEB
ISOLATEB
LWAKE
C183
.1U/10V_4
AUI
C
C155
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
LED I/F
GND
GND
U23
26
41
56
71
84
94
107
3
7
20
32
54
78
99
45
109
106
111
108
31
23
105
CTRL25
L27
BK1608HS220
1
2
3
4
1
C211
.1U/10V_4
2
D
R113,R114
RTL8110SBL(1G)--POP
RTL8100L(10,100)--DEPOP
R108,R115,Q19
R412,R414,R416,R418,C531,C533
RTL8110SBL(1G)--DEPOP
RTL8110SBL(1G)--POP
RTL8100L(10,100) --POP
RTL8100L(10,100)--DEPOP
B
+3V_LAN_D
3
Q52 Colayout with Q19
Q52
RTL8110SBL(1G)--POP
CTRL25
1
*2sb1188
RTL8100L(10,100) --DEPOP
R412
R413
TRD3N
TRD1N
2
*49.9/F_4
R414
+2P5V_LAN
TRD1P
*49.9/F_4
R416
RTL8110SBL(1G)--POP
49.9/F_4
R417
TRD2N
TRD0N
*49.9/F_4
+3V_LAN_D
RTL8100L(10,100) --DEPOP
49.9/F_4
C533 *.01U_4
Q19
Q18
1
DVDD_LAN
*2sb1188
R_DVDD
C138
C157
R124
C151
*0_8_NC
1197
DVDD_LAN
C176
C217
C192
C158
.1U/10V_4 .1U/10V_4 .1U/10V_4 10U/10V_8
*22U-1206 *.1U/10V_4 *.1U/10V_4
49.9/F_4
CTRL25 2
+2P5V_LAN
R108
0_8
DVDD_LAN
3
Item103
2
A
TRD0P
*49.9/F_4
+2P5V_LAN
C534 .01U_4
R419
TRD2P
1
3
R418
CTRL18
C532 .01U_4
R415
TRD3P
Q18,R124,C138,C157,C151
+2P5V_LAN
49.9/F_4
C531 *.01U_4
C149
22U-1206
C140
A
DVDD_LAN
C139
.1U/10V_4 .1U/10V_4
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Rev
A3A
LAN RTL8110S/8100CL
Date:
5
4
3
2
Wednesday, June 15, 2005
Sheet
1
25
of
38
笔记本图纸QQ107203753
A
B
C
D
E
CN15
+2P5V_LAN
19
21
U9
RTL8110SBL(1G)--POP
RTL8100L(10,100) --DEPOP
ACT#
15
17
16
18
1
2
3
4
5
6
7
8
4
R304 2
9
12
100M
Orange
10M
green
U9
1 470
RJ45_TRAN_TRD0P
RJ45_TRAN_TRD0N
RJ45_TRAN_TRD1P
RJ45_TRAN_TRD2P
RJ45_TRAN_TRD2N
RJ45_TRAN_TRD1N
RJ45_TRAN_TRD3P
RJ45_TRAN_TRD3N
R305 2
1 330
RJ45_TRAN_TRD0N
RJ45_TRAN_TRD0P
MCT4
RJ45_TRAN_TRD1N
RJ45_TRAN_TRD1P
MCT3
RJ45_TRAN_TRD2N
RJ45_TRAN_TRD2P
MCT2
RJ45_TRAN_TRD3N
RJ45_TRAN_TRD3P
MCT1
+3V_LAN_D
100MBPS
13
14
15
16
17
18
19
20
21
22
23
24
MX4MX4+
MCT4
MX3MX3+
MCT3
MX2MX2+
MCT2
MX1MX1+
MCT1
Amber
11
14
Green
R306 2
1 330
12
11
10
9
8
7
6
5
4
3
2
1
TRAN_TRD0N
TRAN_TRD0P
TRD0N 25
TRD0P 25
TRAN_TRD1N
TRAN_TRD1P
TRD1N 25
TRD1P 25
TRAN_TRD2N
TRAN_TRD2P
4
TRD2N 25
TRD2P 25
TRAN_TRD3N
TRAN_TRD3P
TRD3N 25
TRD3P 25
C42
C41
C43
C40
*GSN5008
R12
75/F_4
*.01U/16V_4
*.01U/16V_4
*.01U/16V_4
*.01U/16V_4
10MBPS
C12
1000P/3KV
20
22
1000M
Yellow
R9
R10
R11
*75/F_4 *75/F_4 75/F_4
13
10
TD4TD4+
TCT4
TD3TD3+
TCT3
TD2TD2+
TCT2
TD1TD1+
TCT1
LAN-C10087-13P
CN28
G2
4
For ME
issue
colayout
2
2
RING_1
1
1
TIP_1
RING_1 30
R420 0_4
1
2
31 DOCK_TRD0P
R421 0_4
1
2
31 DOCK_TRD1N
R422 0_4
1
2
31 DOCK_TRD1P
R423 0_4
1
2
U8
RJ45_TRAN_TRD0N
RJ45_TRAN_TRD0P
MCT1
MCT2
RJ45_TRAN_TRD1N
RJ45_TRAN_TRD1P
9
10
11
12
13
14
15
16
TXTX+
CMT
NC
NC
CT
RXRX+
TDTD+
CT
NC
NC
CT
RDRD+
TRAN_TRD0N
TRAN_TRD0P
8
7
6
5
4
3
2
1
For RTL8100C
TRAN_TRD1N
TRAN_TRD1P
G1
C530
.1U/10V_4
1
C559
*1000P_2KV
U36
RTL8110SBL(1G)--DEPOP
RTL8100L(10,100) --POP
C560
*1000P_2KV
G2
4
3
RJ11-CON
CN29
2
RING_1
1
1
TIP_1
Reserved for EMI
R4
UN-POP
POP
R127
POP
UN-POP
R113
UN-POP
R114
UN-POP
POP
R115
POP
UN-POP
R108
POP
UN-POP
R124
UN-POP
POP
C151
UN-POP
POP
POP
POP
POP
Q52
UN-POP
POP
Q18
UN-POP
POP
Q19
POP
R412
UN-POP
POP
R414
UN-POP
POP
R416
UN-POP
POP
R418
UN-POP
POP
C531
UN-POP
POP
100MBPS
C533
UN-POP
POP
10MBPS
C40
UN-POP
POP
C41
UN-POP
POP
C42
UN-POP
POP
C43
UN-POP
POP
R9
UN-POP
POP
.1U/16V_6
100K_4
UN-POP
R139
UN-POP
U2A
NC7WZ00-UHS
8
+3V_LAN_D
2
POP
UN-POP
3
C7
POP
R117
C138
+3V_LAN_D
*RJ11-CON
UN-POP
C157
G1
2
For RTL8110SB
R116
3
NS0013
TIP_1 30
2
3
31 DOCK_TRD0N
UN-POP
2
1
25 100M_LINK#
7
2
R2
100K_4
4
+3V_LAN_D
25 1000M_LINK#
+3V_LAN_D
100K_4
8
R3
+3V_LAN_D
U2B
NC7WZ00-UHS
R10
UN-POP
POP
R420
POP
UN-POP
R421
POP
UN-POP
R422
POP
UN-POP
R423
POP
UN-POP
C530
POP
UN-POP
U8
POP
UN-POP
U9
UN-POP
POP
5
3
6
4
25 10M_LINK#
+3V_LAN_D
5
C399
.1U/16V_6
U3
NC7SZ08-UHS
U44
POP
UN-POP
C556
POP
UN-POP
U3
POP
UN-POP
C399
POP
UN-POP
1
4
DOCK_10/100M_LINK# 31
3
2
1
1
U44,U3,C399,C556
RTL8110SBL(1G)--DEPOP
RTL8100L(10,100) --POP
+3V_LAN_D
5
C556
.1U/16V_6
U44
NC7SZ08-UHS
1
ACT#
25 ACTLED#
4
PROJECT : ED3
DOCK_ACTLED# 31
Quanta Computer Inc.
3
2
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
LAN Switch & Connector
A
B
C
D
Sheet
E
26
of
38
笔记本图纸QQ107203753
5
4
3
D
+5VRUN
+12VRUN
FAN CONTROL
2
1
D
+12VRUN
R87
MAX6657_OV# 5,34
R327
1
+5VFAN
6
5
2
1
Q40
AO6402
3
+3VRUN
3
-
3
10_6
R326
U19A
LM358ADR
2
10K-0402
4
+
2
4
3
4
32 VFAN
1
2
5
6
8
10K-0402
Q41
AO6402
Q17
2N7002
CN18
1
+12VRUN
32 FANSIG
R78
C99
.1U/25V_8
FAN_PWR
3.9K/F
R88
1
2
3
30 MIL
C428
3K
4
5
FAN
10U/10V_8
C
C
U19B
LM358ADR
5
+
6
-
7
TOUCH PAD
B
CN7
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
CA3
RP15
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
MY0
MY1
MY2
MX0
10
9
8
7
6
MY3
MX3
MX2
MX1
1
2
3
4
5
MY4
MX4
MX5
MX6
1
3
5
7
220PX4
2
4
6
8
CA4
1
3
5
7
220PX4
MY7
2
MY6
4
MY5
6
MX7
8
RP17
MY8
MY9
MY10
MY11
10
9
8
7
6
+3VALW
MY15
MY14
MY13
MY12
1
2
3
4
5
1
3
5
7
R338
10K-0402
10K-0402
TOUCH_PAD
10
9
8
7
6
CA2
1
3
5
7
220PX4
MY3
2
MX3
4
MX2
6
MX1
8
32 TPDATA
R337 1
2
0_4
32 TPCLK
R336 1
2
0_4
MY6
MY7
MX7
MY5
1
2
3
4
5
MY8
MY9
MY10
MY11
1
3
5
7
220PX4
2
4
6
8
CA1
1
3
5
7
220PX4
MX0
2
MY2
4
MY1
6
MY0
8
TOUCH_RIGHT
1
2
3
4
5
6
7
8
9
10
11
12
3
4
5
MISAKI_TC004-PS11AT
CN6
TOUCH_LEFT
CA5
10KX8
RP16
MY4
MX4
MX6
MX5
MY12
MY13
MY14
MY15
220PX4
2
4
6
8
1
2
BK2125HS330_8
R339
10KX8
CA6
TOUCH_RIGHT
.1U/10V_4
SW5
TOUCH_LEFT
1
2
3
4
5
MISAKI_TC004-PS11AT
2
4
6
8
MY15
MY14
MY13
MY12
MY11
MY10
MY9
MY8
MY7
MY6
MY5
MX7
MX6
MX5
MX4
MY4
MY3
MX3
MX2
MX1
MX0
MY2
MY1
MY0
MY15
MY14
MY13
MY12
MY11
MY10
MY9
MY8
MY7
MY6
MY5
MX7
MX6
MX5
MX4
MY4
MY3
MX3
MX2
MX1
MX0
MY2
MY1
MY0
C236
CP1
8P4C-10P
10KX8
2
4
6
8
1
3
5
7
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
32
+5V_TP
+5VRUN
B
SW6
L33
+3VALW
6906-25
A
A
1
3
5
7
CP2
8P4C-10P
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Rev
A3A
T/P, FAN, Switch, K/B
Date:
5
4
3
2
Wednesday, June 15, 2005
Sheet
1
27
of
38
笔记本图纸QQ107203753
A
B
3V_MODEM
L50
AC97 codec
C
D
For Layout:
AVDD_CLK
FBM-11-160808-121 A20T
AMCVDD
Place decoupling caps near the
power pins of Malibu device.
+
C524
.1U/16V_6
L49
FBM-11-160808-121 A20T
C525
10U/10V_8
+3_3VDC
C502
L47
AVDD_PIN25
+
C517
10U/10V_8
E
C513
.1U/16V_6
C514
.1U/16V_6
+
C507
FBM-11-160808-121 A20T
10U/10V_8
C515
.1U/16V_6
.1U/16V_6
C518
.1U/16V_6
AGND
L48
FBM-11-160808-121 A20T
+3_3VDD
AGND
C504
.1U/16V_6
C505
.1U/16V_6
AGND
0_6
47
DIB_DATAP
R390
0_6
48
35
AVDD1
AVDD2
25
14
VDDCK
12
9
DVDD3
DVDD1
R389
C521
.1U/16V_6
For Layout:
VREF1
19
VC_SCA
VREF_FILT
23
VREF_SCA
Place near
SmartAMCZ device
MICBIAS_F
20
MICBIAS_F
INT_MIC
MICBIAS_C
21
MICBIAS_C
MICBIAS_B
22
MIC_L
27
MIC_R
26
AGND
DIBP
CN9
0_6
3
PWRCLKP
R394
0_6
4
PWRCLKN
R395
*10K_NC
R396
12 AC_SDIN0
33_4
8
12 AC_BITCLK
13
12 AC_SDOUT
7
U40
SDI
SAMART_AMC_HD
SDO
12 AC_SYNC
10
SYNC
12 AC_RESET#
11
RST#
1
XTLI
15
XTALIN
*0_NC
16
XTALOUT
R283
.1U/10V_4
C509
AGND
29
.1U/10V_4
C510
AGND
.1U/10V_4
C512
AGND
CD_L
28
PORT-C_R
33
PORT_C_R_R
PORT-C_L
34
PORT_C_L_L
PORT-D_R
31
PORT-D_L
32
PORT-B_L
38
10U/10V_8
C498
PORT-B_R
37
10U/10V_8
C499
R285
*2.2K_NC
R292
*2.2K
AMCVDD
R289
2.2K
1
7.87K R443
LINE IN/MIC IN
R288
1K-0603
PORT-A_L
40
PORT_A_L
PORT-A_R
39
PORT_A_R
SENSEB
42
SENSEB
SENSEA
41
SENSEA
CN27
R463
0_0603
R290
L40
BK1608LL121
LINEINL
L41
*BK1608LL121
LINEINR
1
2
6
3
4
5
*1K-0603
PORT_A_L 29
7
8
PORT_A_R 29
R291
JA6333L-3S0-TR
AGND
*1K_NC
*1K_NC
AVSS2
+3VRUN
R444
AGND
AGND
10K-0402
R391
1.5K/F
36
24
AVSS1
VSSCK
PR_HP_L 31
AUDVREF2.5V
0_6
+3VRUN
R384
Ground Tie
10K-0603
PORT_B_SENSE
1
2
AGND
For HD Audio Mode: Do not populate crystal circuitry and
leave XTALIN (pin 15) and XTALOUT (pin 16)
floating.
AGND
R284
*2.2K_NC
R293
2
For AC-Link Mode: An external 14.318MHz clock source
can be used to replace the crystal circuitry shown here.
It should be connected to XTALIN (pin 15). The XTALOUT
(pin 16) should be left floating.
INT_MIC
AGND
SPDIF_OUT
DVSS1
29 SPDIF
AMCVDD
MICBIAS_C
30
EAPD
17
44
VSUB
45
6
R402
*4.7K_NC
DVSS2
R403
DVSS3
.01U_4
*7.8K
10U/10V_8
CD_R
PCBEEP
46
C520
17 14M_AC97
43
5
BEEP
R401
*2.7K_NC
*10K_NC
R442
C516
PR_HP_R 31
+5VRUN
R398
AUDVREF2.5V
CD_GND
20551-27P2
BCLK
1
2
R400
2.2K
4
R393
R399
C546
1
Docking side
Phone
jack-sense
high active
.047U/10V_4
AGND
Q54
2
0_6
R385
39K/F
PORT_C_SENSE#
4
2
U43
AHCT1G125DCH
Item133
PR_AUDPLUG 31
2N7002
R455
1
C508
150P
Place crystal and associated
circuitry very near
SmartAMCZ Device.
C526
10U/10V_8
3
PWRCLKN
For Layout:
+
C522
.1U/16V_6
3
PWRCLKP
30 PWRCLKN
REF_FLT
DIBN
C506
150P
30 PWRCLKP
VREF2
18
C527
10U/10V_8
5
30 DIB_DATAP
DIB_DATAN
+
C528
C523
10U/10V_8 .1U/16V_6
+
30 DIB_DATAN
DVDD2
1
+
For Layout:
Place these resistors close
to SmartAMCZ device.
100K_0402
If use 20551-27P2 --->POP 39K and use driver =V535
If use 20551-27P4 --->POP 5.1K and use driver =newer than V535
AC97 codec Power
BEEP
+5VRUN
+3VRUN
R392
AMCVDD
*0_NC
+3VSUS
R386
0_8
3V_MODEM
+3VRUN
C550
2
1
13 PCSPK
2
AMC5VIN
FBMH2016HM251NT
C551
2
1
5
3
U38
L46
+3VRUN
4
C479
.1U/10V_4
4 PCBEEP
800mA (30MIL)
IN
C480
.1U/10V_4
C478
1
3
ADJ
1
OUT
4
OUT
2
AIC1117
BEEP
1
21 PCMSPK
.1U/10V_4
.1U/10V_4
5
U37
74AHCT1G86GW
2
29,32 SYSSPKOFF#
C486
10U/10V_8
C489
.01U/16V_4
C492
.1U/10V_4
.47U/10V_6
R381
3
U29
74AHCT1G08GW
R380
PROJECT : ED3
3VAUDADJ
AGND
205/F
Quanta Computer Inc.
124/F
AGND
AGND
AGND
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
AC97 CODEC + MODEM
A
B
C
D
Sheet
E
28
of
38
1
笔记本图纸QQ107203753
2
3
AVDD
4
5
6
7
8
R383
GAIN
SPKR
HP
MODE
MODE
*1K_NC
FOR EMI SOLUTION
+5VRUN
AVDD
R379
A
0
1
10.5
C490
1U/10V_6
GAIN
3
AVDD
R294
.1U/10V_4
C395
.1U/10V_4
C500
.1U/10V_4
0_8
R382
C496
1K-0603
.1U/10V_4
C485
.1U/10V_4
+5VRUN
0
9
C477
0_6
C476
.1U/10V_4
C394
.01U/16V_4
A
R428
6
3
16
19
21
PVDDL
PGNDL
PVDDR
PGNDR
VBIAS
9
3
/SHDN
Headphone out / SPDIF OUT
Q53
INSPKL+
INSPKLINSPKRINSPKR+
2N7002
+5VRUN
AVDD
C484
.1U/10V_4
MAX9755ETI+
HPS#
2
C487
10U/10V_8
C497
.1U/10V_4
1
GND
22
26
74AHCT1G08GW
10
7
23
C1N
CPVDD
4
5
17
18
VDD
GAIN
OUTL+
OUTLOUTROUTR+
3
INR
24
VSS
MUTE
4
SPKR
28
12
2
5
.1U/10V_4 1
SPKL
13
C481
10U/10V_8
C392
.1U/10V_4
C494
1U/10V_6
CN26
C493
B
AGND
6
7
8
1U/10V_6
SPDIF
28 SPDIF
SPKR
R465
33_4
C475 1
SPKL
R466
33_4
C390 1
2
220U_4V_L
+
AGND
AGND
L38
L39
BK1608LL121
BK1608LL121
1
3
2
4
5
SPKR1
SPKL1
220U_4V_L
2
+
AGND
C393
470P_4
R467
*0_NC
B
Drive
IC
32 AMP_MUTE#
28,32 SYSSPKOFF#
GAIN
CPVSS
+5VRUN
U36
14
INL
AGND
LED
C552
2
1
HPL
HPR
NC
2
11
AOUTR
20
27
HPVDD
C1P
4.7U/10V
HPS
HPS
NC
CPGND
4.7U/10V
C483
AOUTL
10K-0402
AGND
1
GND
C482
U39
15
8
AGND
25
AGND
AGND
C391
470P_4
10
9
DLT11M3_SPDIF/O
SPDIF-DLT11MX-10P-V
R468
*0_NC
AGND
AGND
AGND AGND
+5VRUN
R445
10K-0402
*0
*0
28 PORT_A_L
C
R429
R430
AOUTL
AOUTR
R431
3K
R432
3K
SPEAKER CON.
New type Phone jack low active
C535
10U/10V_8
C536
10U/10V_8
HPS#
C
CN2
28 PORT_A_R
R433
10K
R434
10K
L43
L42
INSPKL+
INSPKL-
0_6
0_6
INSPKL+N
INSPKL-N
1
2
3802-02
3D_STEREO_VDD
AGND
R324
*0_NC
AGND
R325
*0_NC
U42
R435
IOPJ2-7 for
NS97551 have
internally
weak pull up
PORT_A_L_IN
PORT_A_R_IN
AOUTL
AOUTR
10K-0402
+12VRUN +5VRUN
3D_STEREO_VDD
14
13
12
11
10
9
8
LIN
RIN
LOUT
ROUT
MODE
GND
V+
FIL1
FIL2
FIL3
VOL2
VOL1
VREFIN
VREF
1
2
3
4 0_4
5 10K
6
7
R436
R437
.033U
.01U
.47U
.33U
C537
C538
C539
C540
1U
C541
3
10U/10V_8
AGND AGND
CN11
L51
L52
INSPKR+
INSPKRR405
*0_NC
C542
0_6
0_6
INSPKR+N
INSPKR-N
1
2
3802-02
R404
*0_NC
NJM2199
Q55
32 3D_ON#
2
C543
10U/10V_8
R439
*0_8_NC
R451
0_8
AGND AGND
2N7002
C544
AGND
C545
10U/10V_8
.1U
1
When 3D_ON#
Keep low then
3D active
AGND
AGND
AGND
AGND
AGND
D
D
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Rev
A3A
Audio Amplifier & Jack
Date:
1
2
3
4
5
6
Wednesday, June 15, 2005
7
Sheet
29
8
of
38
笔记本图纸QQ107203753
5
4
Revision History
REV
Description
3
Date
00
Initial Release
February 14, 2002
01
27mmx27mm form factor.
July 5, 2002
2
1
Vdd
REV:B MODIFY FOR USE
NEW MODEM MODULE
MC978
0.1uF
1
MTP58
1
MTP59
DGND_LSD
1
MTP36
1
MTP37
1
MTP35
1
MTP38
1
Removed J1B. Change size for C978, C984, R902, R904, R906, R908,
R910 and R978. Changed BR904 and BR906 to different manufacture.
November 26, 2002
06
Corrected error in Q904 PCB footprint.
January 3, 2003
07
Added DIB data transformer footprint, added MC966, deleted ring
impedance circuit. Added the letter "M" prefix to all reference
designators.
Changed value for MC966 from 3.3nF to 10nF, 100V, +/-20%, Y5V. By
default, MC966 will be populated. Also, changed CX20493 revision from
11 to 21.
September 24, 2003
08
TAC1
20
TAC1
MR904
1M_8TAC1/TIP
MBR904
25
NC3
RAC2
19
29
PADDLE
TAC2
18
MC904
MC906
470pF
MMBD3004S
0.033uF/100V
AGND_LSD
GND
1
MTP34
TRDC
AC
AGND_LSD
MU902
TRDC
12
MR906
6.8M
MTP40
1
MTP41
D
MJ2
1
2
MC908
470pF
November 06, 2003
1
MMZ1608D301B
A
05
RAC1
0.033uF/100V
C
November 12, 2002
NC2
MC902
A
Change J1 & J1B. Change R938 size. Add TP60 to TP71.
NC1
1M_8RAC1/RING
KU10S31N
04
8
22
MR902
C
October 9, 2002
add J1B - remove T903
RAC1
AC
24
03
21
MRV902
D
September 24, 2002
6 pins J1 connector-T/R traces for specific uses-100V C902/C904
RING_1
MFB902
RING_2
DVdd
02
RING_1 26
MTP39
MBR906
MMBD3004S
TIP_2
*FI-S2P-HF(JAE)_NC
TIP_1
MFB904
1
MTP42
MMZ1608D301B
1
MC918
MC966
10nF/100V
MTP33
TIP_1 26
0.1uF
MTP28
1
MTP29
1
C
CLK2
MTP26
MC926
AC1
1
2
3
4
5
6
7
8
PWRCLKP
1
2
3
4
5
6
7
8
MTP23
C970 must be
placed near pins 7
(PWR+) and 6
(AGnd).
GPIO1
1
RBias
5
MC930
MC928
2.2uF
0.1uF
C928, C930 must be
placed near pins 2
(AVdd) and 6
(AGnd).
VZ
10
VZ
59.0K
EIO
17
EIO
1
AGND_LSD
MC922
10pF
MTP68
MR908
348K
R908 must be placed
near pin 10 (VZ).
MC924
10pF
0_4
DIB_P2
27
DIB_P
DIB_N1 MR924
0_4
DIB_N2
28
DIB_N
1
1
MTP61
VRef
MTP73
Vc
EIF
16
EIF
TXO
14
TXO
TXF
TXF
13
DC_GND
15
1
DGnd
23
MT922
1
4
BRIDGE_CC
MQ902
PMBTA42
MTP31 1
MTP67
MQ904
SB29003
1
MQ906
PMBTA42
MTP66
1
MR928
27
MTP64
1
1
MTP49
B
MTP65
MR938
110
C944, C974, and C976
must be placed near
pins 3 (Vc) and 4
(VRef).
2
3
*MID82157(omit)
Depending of the design target and DIB length,
DIB components can be:
-C922/C924 10pF
-C922/C924 47pF (Validation in progress)
MC974
*0.001uF (omit)
C922, C924, C906, and C908, must be Y3 type Capacitors in order
to comply with Nordic Countries deviations of IEC60950 2nd and 3rd ed.
Y3 type capacitors must also be certified for a 2.5KV impulse test.
This must be checked in vendors' specifications (see AVL).
4
3
20493-58
DGND_LSD
MTP62
Vref_LSD
DIB_DATAN
MTP25
28 DIB_DATAN
MC910
0.047uF/100V
1
MR922
DIB_P1
MTP32
AGND_LSD
MTP60
1
28 DIB_DATAP
GND
MTP69
AGnd
1
*HEADER8 (omit)
C
1
MTP72
MTP71
RBias
1
Vc_LSD
1
2
3
4
5
6
7
8
1
1
DIB_DATAP
1
2
3
4
5
6
7
8
RXI-1
AVdd
MJ3
B
AGND_LSD
MR954
MTP24
*HEADER8
MTP70
MR910
237K
1
6
MTP27
1
RXI
PWR+
2
0.1uF
MBR908
BAV99S
2
3 PCLK
MID82154
CLK
7
MC970
A1
A2
AC2
C1
C2
MT902 BR908_AC1
4
MC962
47pF
+
28 PWRCLKP
MJ1
1
C906 and C908 must be Y3 type
Capacitors for Nordic
Countries only
15nF
AGND_LSD
Vdd
MTP30 1
-
PWRCLKN
1
26
PWR+
MMZ1608D301 B
28 PWRCLKN
MTP22
9
MC958
R910 must be placed
near pin 9 (RXI).
CLK
10P_4
MFB906
BR908_CC
RXI
EIC
C926 must be placed
near pin 26 (CLK).
MR932
15K
1
11
1
MTP52
1
EIC
AGND_LSD
AGND_LSD
MTP63
1
AGND_LSD
1
MC944
MC940
0.1uF
1uF
MC976
.001uF_4
C940 is X5R ceramic.
AGND_LSD
Circuit traces for C922 and C924 should be less
than 2 inches.
A
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
MODEM DAA
5
4
3
2
Sheet
1
30
of
38
1
笔记本图纸QQ107203753
2
3
4
5
6
7
8
D4
2
Z1401
1
+5VRUN
SW1010C
+3VSUS
STRB#
+3VRUN
C55
33
32
35
36
23
24
25
27
28
29
30
31
2
R452
*22_4
SYSOPT Strap :
1--2 (High) - 0x04E
2--3 (Low) - 0x02E
GP10
GP13/IRQIN1
GP14/IRQIN2
GP40
GP41
GP42
GP43
GP44
GP45
GP46
GP47
PD3
PD2
PD1
PD0
RN6
PD6
PD7
PD5
PD4
RN5
4
2
4
2
3 4P2R-S-2.2K
1
3 4P2R-S-2.2K
1
4
2
4
2
3 4P2R-S-2.2K
1
3 4P2R-S-2.2K
1
5
64
62
1
63
2
3
4
IRRX2
IRTX2
IRMODE/IRRX3
37
38
39
B
RN1
4
2
4
2
3 4P2R-S-2.2K
1
3 4P2R-S-2.2K
1
A
FIR
+3VRUN
1/2W
+ C430
4.7U/10V_8
R105
2.2_1210
U32
U5
-RTS1
-DTR1
TXD1
40
DCD1~
DSR1~
RXD1
RTS1~
TXD1
CTS1~
DTR1~
RI1~
RN7
C429 .1U/16V_6
IRTX2
14
13
12
-DSR1
RXD1
-CTS1
-DCD1
-RI1
-DCD1
-DSR1
RXD1
-RTS1
TXD1
-CTS1
-DTR1
-RI1
R7
+5VRUN
19
18
17
16
15
10K-0402
IRRX2
IRTX2
IRMODE/IRRX3
0.047U_4
C20
.33U
C9
8
22
43
52
GND1
GND2
GND3
GND4
R40
*10K-0402
PCLK_LPC
SLCT
PE
BUSY
ACK#
PD7
PD6
PD5
PD4
PD3
PD2
PD1
PD0
SLIN#
INIT#
ERROR#
AFD#
STRB#
RN8
2
VTR
VCC1
VCC2
VCC3
VCC4
LPC47N217
55
56
57
58
53
51
50
49
48
47
46
44
42
41
59
60
61
1
2
R43
10K-0402
C548
*10P_4
SLCT
PE
BUSY
ACK~
CLOCKI
PD7
LAD0
PD6
LAD1
PD5
LAD2
PD4
LAD3
PD3
LFRAME~
PD2
LDRQ~
PD1
PCI_RESET~
PD0
LPCPD~
SLCTIN~
PCI_CLK STQFP64-9X9-4
INIT~
ERROR~
SER_IRQ
IO_PME~
ALF~
CLKRUN~
STROBE~
GP12/IO_SMI~
GP23/FDC_PP
GP11/SYSOPT
9
10
12
13
14
15
16
17
18
20
21
6
19
34
1
U12
7
11
26
45
54
.1U/10V_4 .1U/10V_4 .1U/10V_4 .1U/10V_4
A
+3VRUN
RN3
RN4
3 4P2R-S-2.2K
1
3 4P2R-S-2.2K
1
C53
.1U/10V_4
17 14M_SUPERIO
12,32 LAD0/FWH0
12,32 LAD1/FWH1
12,32 LAD2/FWH2
12,32 LAD3/FWH3
12,32 LFRAME#/FWH4
12 LPC_DRQ0#
8,12,13,20,32 PLTRST#
13,21 LPCPD#
17 PCLK_LPC
12,13,21,24,32 SERIRQ
12,21,24,25 PME#
12,24,25,32 CLKRUN#
13,32 KBSMI#
AFD#
ERROR#
SLIN#
INIT#
2K/F
4
2
4
2
23
22
21
20
28
24
1
2
T1I
T2I
T3I
T1O
T2O
T3O
RIO
R2O
R3O
R4O
R5O
R1I
R2I
R3I
R4I
R5I
9
10
11
MRTS1#
MDTR1#
MTXD1
4
5
6
7
8
MDSR1#
MRXD1
MCTS1#
MDCD1#
MRI1#
VCC
V+
VGND
R424
*4.75K/F_6
R425
*100K/F
10
9
TXD
4
MD0
5
MD1
IR_RX_R
8
RXD
IRMODE/IRRX3
IR_SEL_R
3
FIR_SEL
1
VCC
7
GND
+3VRUN
R426
+3VRUN
*100K/F
+5VRUN
6
C175
+
AGND
.33U
.33U
.33U
NC
S-GND
11
HSDL-3602-007
C170
26
27
3
25
LEDA
IRRX2
2
FORCEON
FORCEOFF#
INVAILD#
R2OUTB
C1+
C1C2+
C2-
+3VRUN
FIRVCC
IR_TX_R
1
C68
RN2
6.8U/6.3VV_1206
C14
C19
C10
2
C67
PE
SLCT
BUSY
ACK#
1
C66
R25
.47U/10V_6
B
MAX3243
+3VRUN
Reserve for docking no pop
Co-layout under switch
R470
10K-0402
3
R406
Q59
2N7002
C24
4.7U/10V_8
C25
.1U/10V_4
2
+5VRUN
R407
*0_6
L5
INT_VGA_GRN
1
PORT REPLICATOR Connector
PR_VCC
BLM18PG181SN1
1.5A
R408
*0_6
CN16
102
PR_INSERT# 2
*0_6
INT_VGA_RED
+3VSUS
1
INT_VGA_BLU
100
99
98
97
96
95
94
93
92
91
90
89
88
87
86
85
84
83
82
81
80
79
78
77
76
75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51
32 KPCLK
32 KPDATA
32 MSCLK
U13
6
COM
2
C
INT_VGA_GRN
GND
4
COM
6
SEL
U15
INT_VGA_BLU
PR_VCC
DCRT_R1
MDSR1#
MRTS1#
MCTS1#
DCRT_G1
MRI1#
STRB#
PD0
VGA_RED 19
6
SEL
4
COM
2
IN_B1
1
IN_B0
3
VCC
5
VGA_GRN
PD1
PD2
PD3
PD4
VGA_GRN 19
+3VRUN
NC7SB3157
U16
8 INT_VGA_BLU
IN_B1
1
+3VRUN
VGA_RED
GND
2
8 INT_VGA_GRN
IN_B0
3
NC7SB3157
VCC 5
IN_B0
3
IN_B1
1
PD5
PD6
PD7
+3VRUN
VGA_BLU
VGA_BLU 19
PR_AUDPLUG
28 PR_AUDPLUG
DCRT_B1
GND
13 USBP213 USBP2+
1
R42
150/F_4
R38
150/F_4
19 PR_VSYNC
19 PR_DDCCLK
19 PR_DDCDAT
2
2
2
R45
150/F_4
1
8 INT_VGA_RED
SEL
4
32 MSDATA
1
INT_VGA_RED
NC7SB3157
VCC 5
PR_USBP23
PR_USBP2+
2
CML3
DLW21HN900SQ2L
USBPWR2
PR_VSYNC
4
1
Docking side need to change
BOM to DEPOP 150ohm
12,19,32 M_SEN#
R47
+3VRUN
26 DOCK_10/100M_LINK#
+3VRUN
+5VRUN
1K-0402
4
3
2
1
EN#
VCC
VCC
GND
OC#
VOUT
VOUT
VOUT
5
6
7
8
R51
470_4
DOCK_ACTLED#
USBOC2# 13
USBPWR2
VA
R13
10K-0402
DOCK_IN#
MDCD1#
MRXD1
MTXD1
MDTR1#
AFD#
ERROR#
INIT#
SLIN#
VA
PR_INSERT#
PR_INSERT# 13,32
C13
4.7U/25V-1210
C17
.1U/10V_4
C16
.1U/10V_4
C18
.1U/10V_4
C15
.1U/10V_4
C
ACK#
BUSY
SLCT
PE
PR_HP_OUTL
PR_HP_OUTR
R24
R26
USBPWR2
PR_HSYNC
DCRT_B1
DCRT_G1
DCRT_R1
0_6
0_6
PR_HSYNC
LANPHYRX+
LANPHYRX-
PR_HP_L 28
PR_HP_R 28
19
DOCK_TRD1P 26
DOCK_TRD1N 26
LANPHYTX+
LANPHYTX-
PR_HP_OUTL
C26
220P_4
PR_HP_OUTR
C31
220P_4
PR_AUDPLUG
C38
10P_4
DCRT_B1
C54
*10P_4
DCRT_G1
C57
*10P_4
DCRT_R1
C65
*10P_4
DOCK_10/100M_LINK#
C70
1000P_4
DOCK_ACTLED#
C69
1000P_4
KPCLK
C1
47P_4
KPDATA
C3
47P_4
MSCLK
C4
47P_4
MSDATA
C6
47P_4
DOCK_TRD0P 26
DOCK_TRD0N 26
D
G528
C22
.01U/16V_4
C21
101
R14
D
26 DOCK_ACTLED#
U6
470_4
DOCK_10/100M_LINK#
50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
150U/6.3V_7
SOIC8-6-1_27
PROJECT : ED3
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
DOCKING & SIO & FIR
1
2
3
4
5
6
7
Sheet
31
8
of
38
笔记本图纸QQ107203753
5
4
3
REF3V
2
1
+3VALW
VCCRTC
R5
1K-0603
1
Q15
+3VALW_551
*2N7002_NC
3VH_551
2
C48
C87
C49
C47
C58
10U/10V_8
.1U/10V_4
.1U/10V_4
.1U/10V_4
.1U/10V_4
+3VALW_551
NBSWON#
Q4
PDTA124EU
Q3
PDTA124EU
3
2
1
+3VALW
1
37 REFP
27
27
27
27
27
27
27
27
27
27
27
27
27
27
27
27
MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15
551_GATEA21
5
6
551_RCIN#
71
72
73
74
77
78
79
80
KBSIN0
KBSIN1
KBSIN2
KBSIN3
KBSIN4
KBSIN5
KBSIN6
KBSIN7
MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15
49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68
KBSOUT0
KBSOUT1
KBSOUT2
KBSOUT3
KBSOUT4
KBSOUT5
KBSOUT6
KBSOUT7
KBSOUT8
KBSOUT9
KBSOUT10
KBSOUT11
KBSOUT12
KBSOUT13
KBSOUT14
KBSOUT15
+5VRUN
R28
10K-0402
10K-0402
10K-0402
10K-0402
10K-0402
10K-0402
MSCLK
MSDATA
KPCLK
KPDATA
TPCLK
TPDATA
20M_4
PSCLK1/IOPF0
PSDAT1/IOPF1
PSCLK2/IOPF2
PSDAT2/IOPF3
PSCLK3/IOPF4
PSDAT3/IOPF5
PSCLK4/IOPF6
PSDAT4/IOPF7
551_32KX1
158
32KX1/32KCLKOUT
551_32KX2
160
32KX2
26
29
30
HOLD#
ACIN
551_PME#
IOPE4/SWIN
IOPE5/EXWINT40
IOPE6/LPCPD/EXWIN45
IOPE7/CLKRUN/EXWINT46
2
44
24
25
NBSWON#
SUSB#
551_LPCPD#
CLKRUN#
IOPH0/A0/ENV0
IOPH1/A1/ENV1
IOPH2/A2/BADDR0
IOPH3/A3/BADDR1
IOPH4/A4/TRIS
IOPH5/A5/SHBM
IOPH6/A6
IOPH7/A7
124
125
126
127
128
131
132
133
ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7
IOPI0/D0
IOPI1/D1
IOPI2/D2
IOPI3/D3
IOPI4/D4
IOPI5/D5
IOPI6/D6
IOPI7/D7
138
139
140
141
144
145
146
147
D0
D1
D2
D3
D4
D5
D6
D7
IOPJ0/RD
IOPJ1/WR0
150
151
RD#
WR#
SELIO
152
IOSEL#
IOPD4
IOPD5
IOPD6
IOPD7
41
42
54
55
PS2 interface
PORTI
R29
121K/F_4
PORTJ-1
C39
12P_4
32.768KHZ
62
63
69
70
75
76
24 RF_EN
24 BT_PWRON#
13 RSMRST#
T87
33 VRON
16,34,36 MAINON
16,34,35,36 SUSON
34 S5_ON
CS#
7
SCL
SDA
WP
A
IOPM0/D8
IOPM1/D9
IOPM2/D10
IOPM3/D11
IOPM4/D12
IOPM5/D13
IOPM6/D14
IOPM7/D15
173
174
47
SEL0
SEL1
CLK
PORTJ-2
PORTK
PORTM
A0
A1
A2
1
2
3
VCC
GND
8
4
AJ975510F06
3
3
+3VALW
PR_INSERT# 13,31
R37
0_4
REFON 37
LID551# 18
A8
A9
A10
A11
A12
A13
A14
A15
IOPL0/A16
IOPL1/A17
IOPL2/A18
IOPL3/A19
IOPL4/WR1
113
112
104
103
48
A16
A17
A18
+3VALW
1
25
36
4
MBCLK
MBDATA
CIRON D5
1
2 BAS316
ICH_PWROK
13
Power LED controll
ACIN 37
NBSWON# 24
SUSB# 13
+3V_S5
+3VALW
R70
R35
R36
4.7K_4
4.7K_4
C
U10
DNBSWON# 13
FANSIG 27
EC_FPBACK# 18
0_4
MAX6657_AL# 5
*551_DEBUG_NC
10K-0402 +3VALW
CLKRUN# 12,24,25,31
R74
4.7K_4
3
Q16
PDTC144EU
1
LAN_PME# 25
ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30
CS#
RD#
WR#
22
24
31
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
CE#
OE#
WE#
13
14
15
17
18
19
20
21
D0
D1
D2
D3
D4
D5
D6
D7
VPP
1
A18
VCC
32
GND
16
D0
D1
D2
D3
D4
D5
D6
D7
+3VALW
*PLCC32
FLASH 8Mbit (1M Byte),NO PLCC TYPE
CO-layout with U7
U7
T88
21
20
19
18
17
16
15
14
8
7
36
6
5
4
3
2
1
40
13
37
IOSEL# is NC now
CS#
RD#
WR#
22
24
9
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
D0
D1
D2
D3
D4
D5
D6
D7
D0
D1
D2
D3
D4
D5
D6
D7
25
26
27
28
32
33
34
35
RESET#/NC
RY/BY#/NC
NC1
NC2
NC3
10
12
29
38
11
+3VALW
VCC
VCC
31
30
GND
GND
23
39
CE#
OE#
WE#
+3VALW
R21
10K-0402
VCC1_PWROK
T86
*PAD
C23
.1U/10V_4
C28
.1U/10V_4
C29
.047U/10V_4
SST39VF080
C81
+3VALW
*NM24C08
1U/10V_6
ENV1
BADDR0
BADDR1
SHBM
BADDR1-0
0 0
0 1
1 0
1 1
R31
R32
R33
R34
10K-0402
*10K_4_NC
*10K_4_NC
10K-0402
1.AMD-29LV081B require MAX 500nS Tready for it's hardware
reset.And MAX6326_UR29 has >100mS reset timing.So we can tie
it's reset# pin to +3VALW directly.
2.SIO has internal 20 mS delay of VCC1_PWROK
I/O Address
Index
Data
2E
2F
4E
4F
(HCFGBAH, HCFGBAL)(HCFGBAH, HCFGBAL)+1
Reserved
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
KBC 87551 & Flash
5
4
B
AMD :Pin 10 is RESET# ; Pin12 is RY/BY#
SST :Pin10,12 are NC
SUSLED_BLUE# 19
SUSLED_AMBER# 19
D/C# 37
BL/C# 37
143
142
135
134
130
129
121
120
CN14
TX_551
PWR_BLUE# 24
MBCLK 5,37
MBDATA 5,37
PLTRST# 8,12,13,20,31
MBCLK
MBDATA
IOPK0/A8
IOPK1/A9
IOPK2/A10
IOPK3/A11
IOPK4/A12
IOPK5/A13/BE0
IOPK6/A14/BE1
IOPK7/A15/CBRD
PORTL
GND1
GND2
GND3
GND4
GND5
GND6
GND7
6
5
148
149
155
156
3
4
27
28
PORTD-2
+3VALW
U11
MBCLK
MBDATA
IOPJ2/BST0
IOPJ3/BST1
IOPJ4/BST2
IOPJ5/PFS
IOPJ6/PLI
IOPJ7/BRKL_RSTO
3
VBAT
IOPD0/RI1/EXWINT20
IOPD1/RI2/EXWINT21
IOPD2/EXWINT24
PORTH
Q8
2N7002
SCROLED# 24
VFAN 27
SYSSPKOFF# 28,29
AMP_MUTE# 29
BT1# 24
BT2# 24
M_SEN# 12,19,31
0
EC_FPBACK#
MAX6657_AL
R41
Y1
C56
12P_4
3
1
161
95
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6
110
111
114
115
116
117
118
119
JTAG debug port
BT1#
BT2#
R456
168
169
170
171
172
175
176
1
PORTD-1
10K-0402
MAX6657_AL
2
32
33
36
37
38
39
40
43
IOPC0
IOPC1/SCL2
IOPC2/SDA2
IOPC3/TA1
IOPC4/TB1/EXWINT22
IOPC5/TA2
IOPC6/TB2/EXWINT23
IOPC7/CLKOUT
PORTC
CC-SET 37
CV-SET 37
BRIGHT 18
T98
153
154
162
163
164
165
PORTB
PORTE
99
100
101
102
IOPB0/URXD
IOPB1/UTXD
IOPB2/USCLK
IOPB3/SCL1
IOPB4/SDA1
IOPB7/RING/PFAIL
Key matrix scan
TINT
TCK
TDO
TDI
TMS
21 GRST#_7411
29 3D_ON#
24 PWR_AMBER#
24 BATLED_BLUE#
24 BATLED_AMBER#
Battery LED BLUE
and AMBER
IOPA0/PWM0
IOPA1/PWM1
IOPA2/PWM2
IOPA3/PWM3
IOPA4/PWM4
IOPA5/PWM5
IOPA6/PWM6
IOPA7/PWM7
PWM
or PORTA
105
106
107
108
109
MSCLK
MSDATA
KPCLK
KPDATA
TPCLK
TPDATA
B
R64
R63
R61
R59
R54
R48
GA20/IOPB5
KBRST/IOPB6
MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7
PS/2 to Port Replicator
31 MSCLK
31 MSDATA
31 KPCLK
31 KPDATA
27 TPCLK
27 TPDATA
24 CAPSLED#
24 NUMLED#
DA0
DA1
DA2
DA3
DA output
HWPG 34,35,36
2
U30
NC7SZ08-UHS
1
MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7
IOPD3/ECSCI
4
T100
T99
1
2
C78
*10P_4
27
27
27
27
27
27
27
27
1
BAS316
1
BAS316
551_SCI#
R39
1
2
1
C
12
R49
*10_4
RCIN#
2
D7
2
D6
31
551_SWI#
+3VRUN
RF_SW# 24
BT_SW# 24
SUSC# 13
1
12 RCIN#
GATEA20
551_KBSMI#
C400
.1U/16V_6
TEMP_MBAT 37
T103
T101
T102
2
12 GATEA20
SCI#
1
BAS316
1
BAS316
1
BAS316
AD Input
TEMP_MBAT
81
82
83
84
87
88
89
90
93
94
1
13 SCI#
2
D9
2
D13
2
D12
Host interface
D
BT1#
HOLD#
AD0
AD1
AD2
AD3
IOPE0AD4
IOPE1/AD5
IOPE2/AD6
IOPE3/AD7
DP/AD8
DN/AD9
2
SWI#
13 SWI#
PCLK_551
KBSMI#
2
2
Q1
PDTA124EU
2
13,31 KBSMI#
ACIN
D1
BAS316
1
R8
100K_4
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
1
SERIRQ
LDRQ
LFRAME
LAD0
LAD1
LAD2
LAD3
LCLK
LREST
SMI
PWUREQ
AGND
D8
2
BAS316
7
8
9
15
14
13
10
18
19
22
23
+3VALW
2
11
12
20
21
85
86
91
92
97
98
470K_4
0
96
R67
R654
SUSB#
D3
BAS316
1
5
12,13,21,24,31 SERIRQ
12 LPC_DRQ1#
12,31 LFRAME#/FWH4
12,31 LAD0/FWH0
12,31 LAD1/FWH1
12,31 LAD2/FWH2
12,31 LAD3/FWH3
17 PCLK_551
17
35
46
122
159
167
137
+3VALW
Should have a 0.1uF capacitor close to
every GND-VCC pair + one larger cap on
the supply.
C50
.1U/10V_4
C88
.1U/10V_4
AVCC
16
VDD
U17
34
45
123
136
157
166
+3VRUN
C77
.1U/10V_4
BT2#
2
L14
FBM2125HM330
C72
.1U/10V_4
3
0_8
551_AVCC
R30
RC0805
D
3
2
Sheet
1
32
of
38
笔记本图纸QQ107203753
5
4
value provied
2.67% offset;
old value was
for old 4.62%
offset.
2
42.2K/F
1
3
PR2
VIN
PC23
10U/25V-1210
PL1
HI0805R800R-00
PC15
D
160mil
.1U
4.7U/10V_8
PQ7
AOD404
1
+5VRUN
PC22
10U/25V-1210
PC16
PQ6
*AOD404_NC
4
30K
1
VIN8
4
D
+5VRUN
1 CMPRF
2
PR1
2
VHCORE
BG waveforms
improved. may
delete from
PR5
future
revision.
332/F
2
2
+3VRUN
3
PL2
HI0805R800R-00
PC25
PC20
PC24
PC26
.1U-0805
.1U-0805
2200P
.1U-0805
2
1
2
PC21
10U/25V-1210
BST1_VCORE
330P
PR6
1
PC14
1U-0805
3.3-0805
*100K_NC
PC1
VHCORE
2mR-7520
PR115
0.68uH(ETQP6FOR6BF)
PL9
PR24
1
PQ2
CH2507S
1
1
2
1P
2
DRN
1
4.7U/10V_8
1
6 CPU_VID2
IMVP_PWRGD
6 CPU_VID1
PC8
6 CPU_VID0
*.1U_NC
8,13 IMVP_PWRGD
C
10
VID4
CPU_VID3
11
VID3
CPU_VID2
12
VID2
CPU_VID1
13
VID1
CPU_VID0
14
VID0
IMVP_PWRGD
16
PWRGD
6
PBOOT
PBOOT
25
32 VRON
13 DPRSLPVR
Set up for
constant-ripple
mode. Was
constantfrequency
mode
4
DPRSL
7
CLK_ENABLE#
8
HYS
SS_C
1
100K
1
1
PR20
22.1K/F
15
3
2
PGND
26
CL
24
CL
PR9
2
1 1.54K/F
CMP
23
CMP
PR4
2
1 750/F
CLRF
22
CLRF
PR7
2
1 1.54K/F
CMPRF
20
CMPRF
PR11 2
1 1.82K/F
DAC
18
DAC
PC107
+ 470U/2V
9mOhm
7343
SP
9mOhm
7343
SP
PC18
.01U
VH_R1
C
PR3
2
VH_L1
0_6
1
VDPR
SS
PR10
PC9
.01U
2
1
511/F
9mOhm
7343
SP
PC2
+
PC10
*470U/2V-7343_NC
PC19
.01U
1000P
2
19
PR21
*30.1K/F_NC
2
PR25
*61.9K/F_NC
9/3 for core funtion
PR22
1
1
PBOOT
2
PR13
ENPAD
5
DPRSLPVR
HYS
+3VRUN
27
PC11
+ 470U/2V
VHCORE
VDPR
17 CLK_EN#
DL_VCORE
BG
SC451
PD3
SSM14
PR26
0_6
2
CPU_VID4
PD1
SSM14
1
LX_VCORE
2
6 CPU_VID3
VID5
GND
6 CPU_VID4
PR12
2.2K
9
3
CPU_VID5
1
+3VRUN
6 CPU_VID5
2P
PC17
1
PQ4
AOD414
4
DH_VCORE
1
TG
4
PQ5
AOD414
BST
VCCA
3
28
CORE
PU1
21
V5_1
4.7U/10V_8
17
1
1
PQ3
CH2507S
1
3
2
2
6,13,17 STP_CPU#
PC4
PR8
10
1
3
2
PD2
RB551
3
CORE
PQ1
CH2507S
3
DPRSLPVR
CMP
CL
CLRF
CMPRF
PR139
20 mil Trace list for layout
20K/F
0_6
2
1
PC12
PC13
PR23
1000P
1000P
31.6K/F
PC7
PC6
PC5
PC3
220P_6
270P
220P_6
680P
CORE
2
VDPR
2
Added
filter for
PBOOT
9/3 for core funtion
B
0
1
0
1
1
1
1.340
0
0
1
1
0
0
0
1
0
1.324
0
1
0
0
1.292
1.260
1
0
1
1.244
1
0
1
0
1
1
1.212
0
1
1
1.148
1.052
0
1
1
1
1
1
0
1
0
1
1
1
1
0
0
0
0
1
1
1
0
1
0
0
0
1
1
0
0
1
1
0
1
1
1
1
0
1.020
1
0
0
0
0
0.940
1
1
1
0
0
13 DPRSLPVR
2
17 CLK_EN#
1
PU10
4
2
*NC7SZ02_NC
1
V
3
5
Vcore
3
V I D
VID 5 VID 4 VID 3 VID 2 VID 1 VID 0
B
SS_C
+5VRUN
PQ56
*CH2507S_NC
Change to NOR GATE
+3VRUN
1.180
1.100
PR14
PR15
PR16
PR19
PR18
PR17
*10K_NC
*10K_NC
*10K_NC
*10K_NC
*10K_NC
*10K_NC
CPU_VID5
CPU_VID4
CPU_VID3
CPU_VID2
CPU_VID1
CPU_VID0
0.972
A
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
CPU CORE (SC451)
5
4
3
2
Sheet
1
33
of
38
笔记本图纸QQ107203753
5
4
3
2
1
12mil
5
6
D
160mil
10
PC123
.01U
PC68
.1U-0805
1 D1
G1
2 D1
S1/D2 7
3 G2
6
PC73
1000P
8
2
2
PC64
.1U-0805
PQ30
AO4812
4
PC63
PR122
0_6
120mil
V+
3
1
1
2
PL5
HI0805R800R-00
2
PR121
10K/F
PR85
1
D
VIN
VIN1
0512
PD16
ZD5.6V
7
PR123
3.32K/F
1
PC119
100P
8
+3VALW
4 S2
5
PQ35
.1U
3
15mil
1P
LX3
3
PQ49
IRLML5103
DH3
PC138
470U/4V
15mil
+
PC115
560U/4V
TYPE SVPC
+
PC62
.1U
PC57
+
*100U/6.3V_NC
<Type>
CC3528
PC54
.1U
Item134
15mil
+3VRUN
+3VALW
+5VALW
1
19V
15mil
26
4
12OUT
BST3
25
5
VDD
DL3
24
6
SYNC
SHDN-
23
PC124
10U/25V-1210
15mil
PC72
.1U-0805
11
RESET-
BST5
18
12
FB5
LX5
17
13
CSL5
DH5
16
CSH5
SEQ
15
PR125 0_6
PR124
0_6
14
15mil
2
1
PC120
.1U/50V
0.01-3720
PR133
120mil
LX5
15mil
DH5
PC85
4.7U/16V-1206
1
PL16
STQ125A-7233A
2P
4
3
+5VRUN
PC91
.1U
+5VALW
+
PC86
+
4
2
1
MAIND
PC84
4.7U/16V-1206
160mil
2
1P
PC135
390U/6.3V
DL5
MAX1632A
+5VSUS
*100U/6.3V_NC
<Type>
CC3528
PC90
.1U
PC132
.1U
PQ50
AO4704
PR90
0_6
3
2
1
2
PC67
4.7U/16V-1206
2
1
SUSD
15mil
2
15mil
BST5
1
32,35,36 HWPG
LX15
1
19
15mil
1
4.7U/16V-1206
2
DL5
SKIP-
PC66
15mil
1
PGND
20
+15VALW
2
21
PD18
EP05FA20
1
22
VL
PQ55
AO4812
SI4800DY
4
1
V+
GND
10
20mil
PD22
DAP202U
2
TIME/ON5
PQ36
2
3
8
REF
C
VL
7
9
PR89
0_6
BST3
4
0_6
PR86
*0_NC
1632REF
120mil
5
LX3
6
FB3
3
PR87
2
3
9/3 change MOSFET
PC118
.1U/50V
3
2
1
VL
10K_4
27
5
6
7
8
PC65
4.7U/16V-1206
R301
28
DH3
1
+15VALW
1
+5VSUS
RUN/ON3
CSL3
5
6
7
8
6/7
CSH3
2
2
+12VALW
1
VIN1
7
1
PU5
8
PR82
220K
PQ31
DTC144EU
C
PR120
0.015-3720
0_6
DL3
2
16,32,36 MAINON
120mil
160mil
2
2
PR77
2P
1
PL14
10UH-MSCDR1-104R
2
+3VSUS
AO4916
+12VRUN
PR80
100K
SUSD
PC58
1
SYS_RESET#
2
*0_NC
1
PR78
5,27 MAX6657_OV#
MAIND
PC71
10U/25V-1206
PC121
10U/25V-1210
1
*4.7U/25V-1206_NC
2
PR83
1K-0603
B
B
+15VALW
+3VALW
PR129
PR126
22-0805
22-0805
+5VSUS
PR94
22-0805
+15VALW
PR97
22-0805
PR95
22-0805
1M
3
3
SUSD
+3V_S5
PR135
2
2
2
2
2
PQ38
CH2507S
PQ41
CH2507S
PQ40
CH2507S
1
PQ51
CH2507S
1
PQ53
CH2507S
1
PQ52
CH2507S
1
PQ43
DTC144EU
1
1
2
1M
.1U
1
PQ25
CH2507S
1
PQ22
CH2507S
1
2
2
16,32,35,36 SUSON
PC53
2
1
1M
PQ26
DTC144EU
PR127
1M
+3VSUS
SI5402
PR72
2
PR136
.1U
8
7
6
5
3
3
32 S5_ON
PC116
PQ29
1
2
3
4
1.8VSUS
3
1M
+1_5VSUS
3
PR69
22-0805
VTT_MEM
3
PR67
1M
3
PR71
VIN
3
+3V_S5
3
VIN
VIN
VHCORE
+VCCP
+1_5VRUN
+2_5VRUN
+3VRUN
+5VRUN
+15VALW
PR63
PR60
A
PR66
22-0805
1M
PR70
22-0805
PR73
22-0805
PR64
22-0805
PR62
22-0805
PR65
22-0805
A
1M
3
3
3
3
3
3
3
3
MAIND 35
16,32,36 MAINON
MAINON
PR61
2
2
2
2
2
2
2
2
PROJECT : ED2
1M
PQ20
CH2507S
Quanta Computer Inc.
1
PQ17
CH2507S
1
PQ18
CH2507S
1
PQ27
CH2507S
1
PQ23
CH2507S
1
1
PQ21
CH2507S
1
PQ19
CH2507S
1
PQ16
DTC144EU
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
5V/3.3V(MAX1632A)
5
4
3
2
Sheet
1
34
of
38
笔记本图纸QQ107203753
5
4
3
2
+5VALW
+5VSUS
VIN3
9/3 change MOSFET
PL8
PR132
*0_6_NC
PR134
0_6
5
6
7
8
D
10
PC104
.1U-0805
PQ46
SI4800DY
2
PR93
1
PC105
10U/25V-1206
HI0805R800R-00
VIN
PC106
10U/25V-1206
D
4
1
PC76
PR92
.1U
PD23
SW1010C
13
3
4
VOUT
LX
12
VCCA
ILIM
11
5
FBK
VDDP
10
6
PGOOD
7
GND
DL
9
PGND
8
3
2
1
7A
DH-1.5V
PL18
2.5UH-MSCDR1-104R
LX-1.5V
PR99
27.4K/F
+
DL-1.5V
PD24
*SSM14_NC
4
PC131
560U/4V
TYPE SVPC
+
PC80
10U/10V_8
PR130
20K/F
PC126
*.1U_NC
2
PC88
*150U/4V_NC
<Type>
CC3528
PQ45
AO4704
1
PC77
.47U/10V_6
PR131
10K/F
2
2
3
2
1
PC74
1000P
1
SC1470
PC75
.1U
+1_5VSUS
1
14
DH
1
BST
1
VIN
2
32,34,36 HWPG
0_6
EN/PSV
2
2
PR128
1
5
6
7
8
0_6
4.7U/10V_8
PC134
.1U-0805
PU7
PR96
16,32,34,36 SUSON
PC130
2
1
1M
C
C
5
6
7
8
+1_5VSUS
PQ54
AO4418
4
3
2
1
34 MAIND
+1_5VRUN
PC125
.1U
B
B
A
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
2.5VSUS / +1.25TERM
5
4
3
2
Sheet
1
35
of
38
笔记本图纸QQ107203753
5
4
3
2
1
D
D
VIN5
VIN
PC98
PL7
HI0805R800R-00
1000P
PR101 11K/F
VCCP_FBK
VIN5
+5VSUS
VIN
9/3 change MOSFET
PC95
10U/25V-1206
PR112
0_6
*100P_NC
20mil
PU9
SC1485
1
PGND1
9/3 short jamp del
PR102
0_6
2
DL1
PC93
1U/10V_6
15mil
1
2
3
VCCP_LX
PC97
28
27
3
VDDP1
FBK1
26
15K/F
ILIM1
VCCA1
25
PD20
2
SW1010C
5
LX1
VOUT1
24
6
DH1
TON1
23
VCCP_BST
7
BST1
EN/PSV1
22
8
EN/PSV2
BST2
21
9
4
4
PD17
*SSM14_NC
PR138
VIN5
2
PQ37
AO4704
+5VSUS
1
2
3
PC122
560U/4V
TYPE SVPC
0_6
PR103
2
PC70
*470U/2.5V-7343_NC
1
15mil
+
+
.1U-0805
1
1
PR137
8
7
6
5
PL15
3R8UH-MSCDR1-104R
C
VDDP1
+5VALW
1M
TON2
PR109
0_6
*0_NC
VCCA1
DH2
20
VOUT2
LX2
19
VCCA2
11
VCCA2
ILIM2
18
HWPG
13
PGOOD2
VDDP2
17
12
FBK2
DL2
16
14
AGND2
PGND2
15
TON1
PR104
750K/F
15mil
PC99
32,34,35 HWPG
C
9/3 short jamp del
MAINON 16,32,34
.1U-0805
1.8V_DH
PL17
2R5UH-MSCDR1-104R
20mil
1.8V_LX
PR107
1.8VSUS
15K/F
VDDP2
PD21
SW1010C
PR113
*0_NC
+5VALW
PR114
0_6
+5VSUS
PQ44
AO4704
+
4
15mil
+
PD19
*SSM14_NC
PC137
560U/4V
TYPE SVPC
2
PC127
.1U
3
2
1
1U/10V_6
PR106
30.1K/F
1000P
VIN5
0_6 MAINON
PR105
1.8V_BST
SUSON
PR108
11.3K/F
PC96
1000P
PQ42
SI4800DY
PC103
16,32,34,35 SUSON
PC101
4
TON2
PC102
1U/10V_6
TON1
9/3 change MOSFET
PC94 1U/10V_6
10
20mil
PR110
TON2
AGND1
PGOOD1
1
20mil
VCCP_DH
2
VCCP_DL
1
PQ39
SI4800DY
+5VSUS
PC61
.01U
PC136
*10U/25V-1206_NC
5
6
7
8
PC87
.1U-0805
4
PC60
.1U
PC89
.1U-0805
PR111
*0_NC
1
PC78
10U/25V-1210
2
PR100
10K/F
+VCCP
8
7
6
5
8.5A
+5VALW
HWPG
HI0805R800R-00
5
6
7
8
PL6
3
2
1
PC92
PC100
*100P_NC
PC83
470U/4V-7343
1.8V_DL
20mil
HWPG
1.8V_BAK
B
B
+3VSUS
PL19
22/6A
+2_5VRUN
+5VSUS
max. 0.5A
G966
VEN
ADJ
7
3
VIN
NC
5
4
VPP
GND
8
1
PC139
*0.01U_4
PC140
150U/4V
2
+
PC141
0.1U_4
2
9
1
PC143
4.7U/6.3V/X5R
PR141
14K/F
1
2
PC142
0.1U_4
PR140
30.1K/F
1
2
2
MAINON
1
6
2
VO
1
POK
GND
1
2
PU11
HWPG
A
A
PROJECT : ED2
Quanta Computer Inc.
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
+VCCP/+1.25V/+2.5V
5
4
3
2
Sheet
1
36
of
38
笔记本图纸QQ107203753
5
4
3
2
1
VH
C549
1
L53
1
1
2
PC50
.1U-0805
*33P_4
PQ12
DTC144EU
2
PR54
182K/F
3
+
2
-
PU4A
LM358AM
1
1
AC
8
3
2
2
*27NH Q=12 IDC=300ohm
1
PD8
4
SW1010C
PD7
SBM1040
1
VA
PC44 PC41
.1U-0805 .1U-0805
PL3
HI0805R800R-00
3
PR56
665/F
10K-0603
D
VA1
2
1
PR48
0.02-3720
VA2
PQ34
DTC144EU
REFP
PC45
1000P
2
D/C# 32
VH
300mil
PC43
.01U
VL
PC69
.1U-0805
1
PC49
10U/25V-1206
HI0805R800R-00
PQ14
AO4418
PR55
47K
220K
PR79
4
3
1
1
PC109
.1U-0805
+
DTC144EU
PC110
10U/25V-1206
VH
2
+
5
-
6
1
2
LM393
8
7
6
5
3
PC111
.01U
PC112
PC117
*10U/25V-1206_NC 100U/25V
TEMP_MBAT 32
CN21
PR45
47K
4
LM339
1
1
2
1
PC114
1
PD14
ZD5.6V
REF3V
PC27
PR34
B
PD13
ZD5.6V
CELL-SET
PC28
PR36
1000P
100K
PC38
4700P
PR38
LM339
PU2B
MBDATA 5,32
10K-0603
.1U
PU2C
10mil
PR35
4.7K_6
2
6
PR42
22K
MBCLK 5,32
MBDATA
PR31
20K/F
2
3
-
5
-
PC55
47P
PR74
330
PR33
47K
.1U-0805
PU2A
+
12
1
2
7
PR32
182K/F
PC39
PR43
47K
PR75
330
ZD5.6V PD15
2
PR29
4.99K/F
2
PC52
PC51
47P
SPE-C14455
.1U/50V
7
TEMP_MBAT
1
.01U
MBAT+
5
4
3
2
1
2
6
PC108
1
PC30
.1U
7
2
-
47P
+
6
HI0805R800R-00
1
5
PL13
MBAT+
2
PR59
22K
HI0805R800R-00
+
+
PU4B
LM358AM
PR47
1K-0603
1
1
PD10
SW1010C
PR118
118/F
10K/F-0603
+
180K/F
PQ33
CH2507S
C
PL12
PR40
169K/F
47K
PR88
2
32 BL/C#
PQ48
2
1P
PR116
B
REF3V
LM393
1
PQ13
2SA1576A
2
1
1
2
SSM24
PR46
2
AO4411
3
2
PR50
*47K_NC
BAT-V
PR117
118/F
PD12
VH
1
7
PC113
.01U
2
PD11
SSM24
3
1
2
2P
PC37
.1U-0805
PR58
1.82K/F
1
1
1
PL11
PR119
10UH-MSCDR1-104R
0.02-3720
L1-2 2
2
4
5
6
L1-1
PQ10
IMZ2
IMD
2
3
PQ11
IMZ2
3
.01U
PQ15
2SA1576A
4
5
5
6
7
8
PD5
DA204U
6
2
1
change
charger
current
PR41
18K/F
PC40
PR51
10K-0603
1
4
3
2
1
1
PR52
1K-0603
C
PR57
1.82K/F
PQ47
AO4411
1
2
3
4
1
VIN
220P_6
3
-
PU6B
PC48
VAD
+
3
PR53
100K
PD9
SW1010C
PR81
220K
1
2
3
PD6
SW1010C
PQ32
PU6A
4
1
2
2
VA3
4.7K_6
PR91
PR84
22K
8
PL10
2
PC46
.1U-0805
POWERJACK(IDJ-D14-B2)
.01U
8
7
6
5
PL4
HI0805R800R-00
3
2
2
300mil
3
4
PC47
PR49
2
1
5
VA1
CN17
D
REF3V 32
7.5K/F
CLOSE TO BATTERY CON
PU2D
PR39
32 CC-SET
9
+
8
-
10mil
PC42
220P_6
14
13
LM339
PR44
10K-0603
+
11
-
10
10mil
CV-SET 32
60.4K/D
REF3V
LM339
PC29
.1U
PC33
.1U
R457
0_4
PR76
10K/F
OSC 200KHz
1
TEMP_MBAT
PC59
.01U
2
FOR 591 CV
REAGE REF
PR28
PR37
36K/F
32 ACIN
3
10K-0603
VL
REFP
20mil
PQ9
VIN
1
6
2
3
REF3V
PU3
REFP
32
1
Vin
5
2
GND
4
3
SD
IMD2
PC34
.1U-0805
PC36
10U/10V_8
PQ8
CH2507S
PD4
Vout
5
BP
4
MAX8877
REF3V
VAD
REF3V 32
PR27
6.8K
1
2
AC
A
2
ZD12V
PR30
10K-0603
1
A
PC31
.1U
PC32
.1U
PC35
PROJECT : ED2
10U/10V_8
Quanta Computer Inc.
32 REFON
Size
Document Number
Date:
Wednesday, June 15, 2005
Rev
A3A
BATTERY CHARGER
5
4
3
2
Sheet
1
37
of
38
笔记本图纸QQ107203753
A
MODEL
B
REV
1A
C
D
CHANGE LIST
Preliminary Release
4
3
2
1
QUANTA
COMPUTER
A
PROJECT : DM3B
DOC. NO. ???
APPROVED BY :
REV: 1A
CHECKED BY:
B
DRAWN BY :
C
E
Model
JM2
Page
MB
FM
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
TO
1A
4
3
2
1
ASSY:
DATE :
D
SHEET
1
OF
1
E

Similar documents

FOXCONN FOXCONN FOXCONN

FOXCONN FOXCONN FOXCONN PCH (HDA,JTAG,SAT) PCH (PCI-E,SMBUS,CLK) PCH (DMI,FDI,GPIO) PCH (LVDS,DDI) PCH (PCI,USB,NVRAM) PCH (GPIO,VSS_NCTF,RSVD) PCH (POWER) 1/2 PCH (POWER) 2/2 PCH (VSS) CLOCK GEN DDRIII(SO-DIMM_0) 1/3 DDR...

More information