Ausarbeitungs Template für Seminararbeiten/Studienarbeiten

Transcription

Ausarbeitungs Template für Seminararbeiten/Studienarbeiten
Universität Karlsruhe (TH)
Fakultät für Informatik
Institut für Technische Informatik (ITEC)
Lehrstuhl für Eingebettete Systeme (CES)
Seminararbeit
„Maßnahmen zur Reduktion des Energieverbrauchs im
PicoRadio Projekt“
Bearbeitet von: Patrick Koffler
Betreuer: Dominic Hillenbrand
2. Juni 2006
Inhaltsverzeichnis
Abbildungsverzeichnis .................................................................. 3
1
Einleitung und Motivation ............................................... 4
1.1
Ausgangssituation ........................................................................ 4
1.2
Motivation.................................................................................... 4
1.3
Aufbau der Arbeit ........................................................................ 4
2
Das PicoRadio Projekt...................................................... 5
2.1
Vision - Sensornetzwerke............................................................. 5
2.2
Konzeptionelle Überlegungen in PicoRadio im Bezug auf
„Low Power“................................................................................ 5
3
Der Quark Node................................................................ 7
3.1
Architektur des Quark Nodes ....................................................... 9
3.2
Hauptkomponenten ...................................................................... 9
3.2.1
3.2.2
Der Charm Chip – die digitale Verarbeitung ......................................................9
Der Strange Chip – Der Funk-Sender/Empfänger ............................................10
4
Maßnahmen zur Leistungsreduzierung........................ 12
4.1
„Multi-Hop“ Netzwerke............................................................. 12
4.2
Energieeffizientes Routing ......................................................... 13
4.3
Energieeffizientes MAC Protokoll ............................................. 14
4.4
Transmitter Initiated CyclEd Receiver - TICER ........................ 15
4.5
Energieeffizienter 2-Kanal Transceiver ..................................... 16
4.6
Senken der SRAM Verlustleistung ............................................ 18
4.7
„Power Controller“..................................................................... 19
5
Ausblick ........................................................................... 20
Literaturverzeichnis..................................................................... 21
-2-
Abbildungsverzeichnis
Abbildung 3-1
Übersicht über die PicoNode Implementierungen .................... 7
Abbildung 3-2
PicoNode I alias TestBed .......................................................... 7
Abbildung 3-3
PicoNode II alias TCI................................................................ 8
Abbildung 3-4
Blockstruktur des Quark Nodes ................................................ 8
Abbildung 3-5
Energieverbrauch im Standby (links) und beim
Senden (rechts) .......................................................................... 9
Abbildung 3-6
Struktur des Charm Chips ......................................................... 10
Abbildung 3-7
Struktur des Strange Chips ........................................................ 11
Abbildung 4-1
Multi-Hop Routing .................................................................... 12
Abbildung 4-2
MAC Protokoll .......................................................................... 14
Abbildung 4-3
TICER Schema.......................................................................... 16
Abbildung 4-4
Architektur des Empfängers...................................................... 17
Abbildung 4-5
Architektur des Senders............................................................. 17
Abbildung 4-6
Übersicht über Verlustleistung basieren auf ITRS
Roadmap.................................................................................... 18
Abbildung 4-7
Blockdiagramm des Sensorknotens .......................................... 19
-3-
1
Einleitung und Motivation
1.1
Ausgangssituation
Sensornetzwerke sind ein Verbund aus Sensorknoten, die sich autonom in einem
Funknetzwerk organisieren und standortbezogene Informationen sammeln. In diesem
Netzwerk kooperieren sie, um Aufgaben zu lösen, die sie nicht alleine bewältigen
könnten. Es ist eine Vielzahl von Anwendungen vorstellbar: Ausgehend von einfachen
Temperaturmessungen in einer Büroumgebung (so genannte Smart Buildings), sind
auch wesentlich komplexere Einsatzgebiete wie zum Beispiel Gefahrengebiete,
Fabrikautomatisierung oder Verkehrsflusskontrolle denkbar.
1.2
Motivation
Für jede Form von Sensornetzwerken sind folgende Charakteristika essentiell: niedriger
Energieverbrauch, kleine Abmessungen und niedrige Kosten in der Anschaffung und im
Betrieb. Unter diesen gewünschten Eigenschaften ist der niedrige Energieverbrauch die
entscheidende Metrik. Es ist teuer und unmöglich in einem Sensornetzwerk in regelmäßigen Zeitintervallen die Energiequellen zu wechseln. In vielen Anwendungen ist es
auch einfach unmöglich: so können die Sensoren fest in der Fahrbahn einer Autobahn
oder in einer Betonwand integriert sein. In anderen Einsatzszenarien ist der regelmäßige
Wechsel der Batterien auch einfach durch die große Anzahl von Sensorknoten nicht
praktikabel. Daher ist es sehr wichtig, dass die Sensorknoten einen extrem niedrigen
Energieverbrauch gewährleisten und sich darüber hinaus selbst mit Energie versorgen
können.
Genau diesen Ansatz verfolgt das PicoRadio Projekt der University of California
(Berkeley) [Rabaey02, PicoRadio] unter der Leitung von Jan M. Rabaey auf das diese
Seminararbeit im Folgenden eingehen wird. Dabei wird die Aufmerksamkeit besonders
auf die dort vorgeschlagenen und verwendeten Maßnahmen zur Senkung des Energieverbrauchs gelenkt.
1.3
Aufbau der Arbeit
Die folgenden Kapitel beschreiben am Beispiel einer konkreten Implementierung eines
PicoRadio Sensorknotens die Ansätze, um den Energieverbrauch in den verschiedenen
Ebenen des Systems zu reduzieren.
In Kapitel 2 wird zunächst auf das PicoRadio Projekt im Allgemeinen eingegangen, um
dann in Kapitel 3 die Implementierung eines solchen PicoNodes zu erläutern. In Kapitel
4 werden dann einzelne Systemkomponenten aufgegriffen, in welchen besondere
Maßnahmen zur Reduktion des Energieverbrauchs getroffen wurden. Kapitel 5 liefert
zum Schluss einen kurzen Einblick in die Zukunft des PicoNodes.
-4-
2
Das PicoRadio Projekt
Das PicoRadio Projekt der Universität Berkeley ist in mehrere Untergruppen gegliedert,
die sich alle mit einem speziellen Bereich beschäftigen:
•
Die PicoNode3 Gruppe beschäftigt sich ausschließlich mit der Implementierung
eines Sensorknotens und analysiert diesen anschließend.
•
Um die Entwicklung eines eigenen Funkchips, der den Anforderungen an den
Energieverbrauch genügt, kümmert sich die PicoRadioRF Gruppe. Dabei wird
versucht das Ziel mit aktuellsten Komponenten zu erreichen.
•
Die Network, Application, MAC, Positioning (NAMP) Gruppe entwickelt energieeffiziente Algorithmen zur Kommunikation in dichten ad-hoc Netzwerken
mit besonderem Augenmerk auf niedrige Datenraten.
•
Die vierte Gruppe namens Yield Optimization Design Approaches (YODA) untersucht neue Entwurfsansätze, um robuste und sparsame Schaltungen zu entwickeln.
2.1
Vision - Sensornetzwerke
Die Vision von zukünftigen Sensornetzwerken sind tausende von kleinen, selbst
versorgenden Sensorknoten, die im täglichen Leben Informationen sammeln, verarbeiten und in einer selbst organisierenden Art und Weise verteilen. Es sollen große und
dichte Netzwerke entstehen, in welchen die PicoNodes so wenig Energie benötigen,
dass sie sich eigenständig versorgen können. Die benötigte Energie wird durch „energyscarvenging“ aus der Umwelt gewonnen (zum Beispiel „Solar-Panels“).
Ausgehend vom Stand der Technik sind die angestrebten Sensornetzwerke nicht
realisierbar. Daher werden im PicoRadio Projekt ehrgeizige Forderungen an die
Sensorknoten gestellt. Diese Knoten müssen folgende Eigenschaften haben:
2.2
•
leichter als 100 Gramm
•
weniger als 100 µW Leistungsaufnahme
•
Kosten niedriger als 1USD
Konzeptionelle Überlegungen in PicoRadio im Bezug auf „Low
Power“
Um eine Leistungsaufnahme von lediglich 100 µW zu erreichen, wird versucht diese
akribisch in jeder Ebene des Systems zu reduzieren. Dabei orientiert sich die Forschungsgruppe an bereits bestehenden Ansätzen zur Energiereduktion und versucht
diese an die oben genannten Anforderungen an einen PicoNode anzupassen. Ein
-5-
Beispiel hierfür wäre unter Anderem das Senken der SRAM Verlustleistung. Hierfür
gibt es andere Ansätze, die aber Änderungen am Design einer SRAM Speicherzelle
erfordern. Dies würde zu einem großen Design- und Implementierungsaufwand führen.
[Qin04, Itoh02, Kaxiras01]. In anderen Bereichen, wie zum Beispiel beim RF-Chip,
wird neue Hardware entwickelt, um die neusten technologischen Möglichkeiten
auszuschöpfen.
PicoRadio versucht alternative Energiegewinnungsmethoden zu nutzen: besonders wird
dabei an der Nutzbarkeit von mechanischen Erschütterungen geforscht. Solarzellen zum
Beispiel bieten zwar eine gute Energiedichte, sind aber für Anwendungen in Räumen
mit Bürobeleuchtung oder Einsatzgebieten ohne Lichtquelle unzureichend. Vibrationen
bieten genügend Energie, um eine ausreichende Energiequelle für einen Sensorknoten
zu sein.
-6-
3
Der Quark Node
In diesem Kapitel wird die aktuelle Implementierung eines PicoNodes,
dem Quark Node, vorgestellt. Dieser ist ein Ergebnis der PicoNode3
Gruppe. Dabei wird insbesondere auf die Architektur des Sensorknotens
eingegangen. Später werden Teilkomponenten explizit aufgegriffen.
Die Implementierung der Universität Berkeley eines PicoNodes ist der PicoNode III
alias Quark Node [Sheets04] vom Juli 2004. Vor dem Quark Node gab es bereits zwei
Implementierungen, die als vorbereitende Phasen für den endgültigen Entwurf und die
Implementierung eines kompletten PicoNodes geplant waren. Während dem Entwurf
wurde die Architektur des PicoNodes immer wieder grundlegend geändert. So wurde
zum Beispiel von einer rekonfigurierbaren Struktur [Rabaey02] Abstand genommen, da
der Energieverbrauch für die Flexibilität nicht zu rechtfertigen gewesen wäre.
PicoNode I
PicoNode II
PicoNode 3
TestBed
TCI
QuarkNode
Entstehungsjahr
Januar 2002
Juni 2002
Juli 2004
Fertigungsgröße
unbekannt
0,18 µm
0,13 µm
ja
nein
ja
nein
Bluetooth und
ja
erstmals Trennung von
Protokoll- und
Basisbandprozessor
ja
FBAR-Resonatoren
~ 13 mW
einstelliger mW Bereich
alias
kompletter Knoten
custom Funkchip
Leistungsaufnahme
Proxim RangeLAN
zwischen 130 mW und
691 mW
Abbildung 3-1
Übersicht über die PicoNode Implementierungen
[Sheets04, Burghardt02, Ammer03]
Abbildung 3-2
PicoNode I alias TestBed
[Burghardt02]
-7-
Im Januar 2002 wurde die erste Implementierung, der PicoNode I alias TestBed
[Abbildung 3-2], fertig gestellt. PicoNode I wurde hauptsächlich genutzt, um die
Eigenschaften der Funkverbindung und des Netzwerkprotokolls zu testen und zu
analysieren.
Nahezu zeitgleich wurde die Implementierung PicoNode II (TCI) im Juni 2002 beendet
[Abbildung 3-3]. TCI steht für “Two-Chip Intercom”. Die Idee dahinter ist den
Protokollstack und die Übertragungsschicht („physical layer“) auf zwei verschiedenen
Chips zu realisieren und auf diese Art ihren Unterschieden Rechnung zu tragen.
Abbildung 3-3
PicoNode II alias TCI
[PicoRadio]
Die endgültige Implementierung des Quark Nodes stützt sich auf die Ergebnisse der
TestBed und der TCI Implementierung sowie auf die Algorithmen, die Verbesserungen
im Energieverbrauch und den Funkchip aus den anderen Untergruppen des PicoRadio
Projekts. [Abbildung 3-4]
6
5
1
3
4
Abbildung 3-4
Blockstruktur des Quark Nodes
[Sheets04]
-8-
2
3.1
Architektur des Quark Nodes
Der Quark Node wird als Platine mit zwei Prozessoren, dem Charm Chip
[Abbildung 3-4 (1)] für die digitale Verarbeitung von Signalen und dem Strange Chip
[Abbildung 3-4 (2)] für das Senden und Empfangen von analogen Signalen, implementiert. Des Weiteren werden analoge Standardkomponenten für das Basisband verwendet. [Abbildung 3-4 (3)]
Die Platine hat ein Format von 6cm auf 3cm und verfügt über eine integrierte 1.9GHz
Antenne. Diese Antenne ist besonders klein und billig, da sie während des Ätzvorgangs
direkt auf die Platine aufgebracht wird.
Interessant ist, dass während der Kommunikation mit einem anderen Knoten nur 26%
der Leistung von den Spezialchips aufgenommen werden. Im Schlafmodus sind es
sogar nur 3% [Abbildung 3-5]. Die größten Energiekonsumenten sind der externe
Oszillator [Abbildung 3-4 (6)], die externen analogen Komponenten im Basisband und
die ineffizienten Spannungsregulatoren.
Abbildung 3-5
Energieverbrauch im Standby (links) und beim Senden (rechts)
[Sheets04]
3.2
Hauptkomponenten
Der Quark Node bietet eine ganze Reihe von Standardschnittstellen [Abbildung 3-4
(5)], sowie einen analog/digital Wandler für den Anschluss von Sensoren. Die Energie
für den Betrieb des Quark Nodes wird über Solarzellen gewonnen. Die Solarzellen
können zwei 15 mAh NiMH Knopfzellen-Akkumulatoren bei direkter oder indirekter
Sonneneinstrahlung laden.
3.2.1
Der Charm Chip – die digitale Verarbeitung
Der Charm Chip [Abbildung 3-6] ist in 0,13 µm gefertigt und integriert 3,2 Millionen
Transistoren auf einer Fläche von 2,7mm auf 2,7mm. Um Energie und Platz zu sparen
wird der Chip mit einer relativ langsamen Taktrate von 16 MHz getaktet. Auffällig ist,
dass der Speicher auf dem Chip mit Abstand den meisten Platz einnimmt. Hier wird
deutlich, warum eine weitere Vergrößerung der Speicherzellen in Hinblick auf Größe
und Gewicht des Quark Nodes inakzeptabel wäre.
-9-
Der integrierte 8051-kompatible Mikroprozessor ist für die Applikationen und das
Netzwerkprotokoll zuständig. Er ist in C-programmierbar. Dies ist wichtig, da dies die
Schichten sind, die abhängig vom Einsatz des PicoNodes am häufgisten geändert
werden müssen. Die Sicherungsschicht („Data Link Layer“ DLL), die Ortsbestimmung
(„Location Engine“) und das digitale Basisband sind als energieeffiziente ASICs
implementiert. In der „Neighbourhood List“ wird eine Liste der Nachbarknoten, ihrer
Position und die Energie, um diese zu erreichen, gespeichert.
Abbildung 3-6
Struktur des Charm Chips
[Sheets04]
3.2.2
Der Strange Chip – Der Funk-Sender/Empfänger
Der Strange Chip [Abbildung 3-7] ist ebenfalls in 0,13 µm gefertigt, wird bei 1,2V
betrieben und hat eine Trägerfrequenz von 1,9 GHz. Die Chip Größe ist 4mm auf 4mm,
die aber zum Großteil von passiven Teststrukturen eingenommen wird. Die Größe des
eigentlichen „Transceivers“ ist etwa 8 mm². Der Chip wird, wie die Resonatoren mit
dem Chip auch, per „Chip-On-Board“ (COB) direkt mit der Platine des Quark Nodes
verbunden. Dadurch wird die Größe zusätzlich reduziert und Störeffekte auf der Platine
verringert.
- 10 -
Abbildung 3-7
Struktur des Strange Chips
[Sheets04]
Auf den genauen Aufbau und die Eigenschaften des Strange Chips wird später im Zuge
der Reduktion des Energieverbrauchs in Kapitel 4.5 weiter eingegangen.
- 11 -
4
Maßnahmen zur Leistungsreduzierung
In diesem Kapitel werden am Beispiel des Quark Nodes verschiedene
Maßnahmen zur Leistungsreduzierung behandelt. Im Folgenden werden,
von der Systemebene der Implementierung ausgehend, die Optimierungen
im Bereich der Kommunikation besprochen. Danach wird die SRAM Verlustleistung und das Powermanagement behandelt.
4.1
„Multi-Hop“ Netzwerke
Die nötige Energie Et, um ein Bit von einem PicoNode zu einem anderen zu übertragen,
ist stark abhängig von der Entfernung d zwischen diesen zwei Knoten.
Et = β × d γ
Hierbei ist γ > 1 der Exponent für den Pfadverlust, der von der Umgebung abhängt und
typischerweise zwischen 2 und 4 liegt. β ist ein konstanter Faktor, der den Mehraufwand für die Übertragung eines Bits repräsentiert.
Offensichtlich ist diese Formel nicht linear. Wenn man dies berücksichtigt, ist es
wesentlich energieeffizienter eine lange Übertragungsdistanz in kleinere zu unterteilen
und mehrere Sprünge zu verwenden, was in einem dichten Sensornetzwerk sehr gut
möglich ist.
Funkreichweite
Zwischenknoten
erweiterte Reichweite
Abbildung 4-1
Multi-Hop Routing
[Rabaey02-2]
Nimmt man an das γ = 4 und β = 0,2 Femtojoule / Meter ist, würde eine Übertragung
über 50 Meter pro Bit 1,25 Nanojoule benötigen. Unterteilt man diese Entfernung in 5
- 12 -
Hops à 10 Meter, benötigt man nur noch 5 × 2 Picojoule pro Bit. Die Übertragungsenergie wurde also um etwa Faktor 125 reduziert. Allerdings darf dabei nicht die
Energie zum Empfangen vergessen werden, welche die Energieersparnis reduziert.
4.2
Energieeffizientes Routing
Ausgehend von den Überlegungen in 4.1 stellt sich die Aufgabe Multi-Hop Routing
energieeffizient zu implementieren. Jedem PicoNode kommt hierbei nicht nur die Rolle
des Sensors zu, sondern auch die eines „Repeaters“, wodurch das Multi-Hop Routing
ermöglicht wird.
Bei der Bewertung von Routingprotokollen spielt die Lebensdauer des gesamten
Sensornetzwerks eine essentielle Rolle. Die Lebensdauer ist optimal, wenn die Energie
im gesamten Netzwerk gleichmäßig abnimmt. Somit kann das Netz nicht durch häufig
benutzte Pfade in zwei oder mehr Teile gespalten werden.
Ein großer Vorteil in Sensornetzwerken ist, dass Sensorknoten über ihre geographische
Position adressierbar sind. Dadurch kann die Kommunikation in die richtige Richtung
weitergeleitet werden und beansprucht nicht unnötig alle Knoten in der unmittelbaren
Nachbarschaft des sendenden Knotens. Hierfür benutzt PicoRadio ein so genanntes
„class-based addressing“ [Shah02]. Solch eine Adresse hat die Form <Lage, Knotentyp,
Knotensubtyp>. Die Lage spezifiziert einen bestimmten Punkt oder eine Region die von
Interesse ist. Der Knotentyp legt fest, welche Art von Knoten adressiert werden soll:
Kontrollknoten, Sensor- oder Aktuatorknoten. Der Knotensubtyp grenzt diesen
Knotentyp noch weiter ein, zum Beispiel auf Temperatursensoren.
Durch die Verwendung von reaktiven Routings wird nur dann nach einem Pfad gesucht,
wenn dieser auch benötigt wird. Somit fällt kein unnötiger Aufwand an, um die
Routingtabellen auf dem neusten Stand zu halten. Außerdem ist das Routingprotokoll
zielinitiiert. Es hat drei Phasen [Shah02]:
1. Der eigentliche Empfänger der Daten schickt eine Anforderung per lokalem
„flooding“, um potentielle Pfade von der Quelle zur Senke zu finden und dabei
ihre Energiekosten zu analysieren.
Die Senke schickt eine Anfrage per lokalen „flooding“ in die Richtung in welcher der gesuchte Knoten liegt. Die Zwischenknoten leiten die Anforderung an
Knoten weiter, die näher am gesuchten Sensorknoten und weiter vom Zielknoten entfernt sind als sie selber. Jeder Zwischenknoten legt eine „forwarding Tabelle“ an, in welcher Nachbarn mit niedrigen Energiekosten gespeichert werden.
Jeder Eintrag wird mit einer Wahrscheinlichkeit versehen, die umso höher ist, je
niedriger die Kosten für die Übertragung sind.
2. Aufgrund dieser Wahrscheinlichkeiten wählt der Quellknoten und jeder Zwischenknoten zufällig einen Nachbarknoten aus seiner „forwarding Tabelle“ aus
und sendet die Daten an ihn weiter.
3. Die dritte Phase dient der Routenerhaltung. Der Aufwand hierfür ist minimal, da
die Pfade unregelmäßig per lokalem „flooding“ erneuert werden.
- 13 -
Es wird also nicht immer ein einziger Pfad benutzt. Jeder Knoten hält eine Menge von
möglichen Pfaden, aus welcher der Pfad für die Übertragung zufällig ausgewählt wird.
Dadurch nimmt die Gesamtenergie im Netzwerk gleichmäßig ab und verhindert eine
Überbeanspruchung einzelner Knoten.
4.3
Energieeffizientes MAC Protokoll
Ein energieeffizientes Netzwerkprotokoll reicht alleine nicht aus, um gute Ergebnisse
bei der Leistungsaufnahme eines PicoNodes zu erzielen. Auch auf „Media Access
Control“ (MAC) Ebene darf keine Energie verschwendet werden. Jedes gesendete Bit
bringt einen Sensorknoten bekanntlich näher ans Ende seiner Energiereserven. Deshalb
sollte der Kommunikationsaufwand so niedrig wie möglich sein.
Dieser Aufwand wird zum einen durch Paketkollisionen verursacht. Betroffene Pakete
müssen erneut versendet werden, obwohl sie vorher eventuell verwendbar gewesen
wären. Das Mithören von Paketen, die gar nicht für einen Sensorknoten bestimmt sind,
und das Verarbeiten dieser Pakete benötigt ebenfalls unnötig Energie. Außerdem fallen
natürlich auch Kontrollpakete zum Beispiel für die Synchronisation der Routen an, die
vermeidbar oder deren Anzahl und Größe zumindest reduzierbar wäre.
zufällig einen
Kanal wählen
Belegt?
nein
senden
ja
nein
Alle Kanäle
getestet?
ja
Für zufällige
Zeitdauer
schlafen
Abbildung 4-2
MAC Protokoll
Bevor ein PicoNode ein Packet sendet, wählt er zunächst zufällig einen Kanal und
überprüft, ob auf diesem gerade gesendet wird. Wenn dem so ist, wählt der PicoNode
zufällig einen anderen Kanal, bis er einen freien findet. Falls alle Kanäle gerade belegt
sind, wird sich der Sensorknoten für eine zufällige Zeitdauer schlafen legen und es dann
nochmals versuchen. Auf diesem Weg werden Paketkollisionen vermieden. Da es
zudem für jeden Kanal nur sehr wenige Benutzer gibt, ist die Verzögerung beim Senden
- 14 -
minimal und der Durchsatz hoch. Schließlich sendet ein PicoNode nur an seine
unmittelbare Nachbarschaft. [Zhong01]
Bei diesem verteilten Ansatz gibt es keinen zentralen Kontrollknoten und somit wird
gleichzeitig auch die Möglichkeit eines Komplettausfalls des Netzes aufgrund eines
einzelnen Knotens vermieden. Zudem fällt nur ein geringer Synchronisationsaufwand
an.
Auch ist es auf MAC Ebene besonders wichtig, dass unnötiges Lauschen auf einem
Kanal unterbunden wird. Da die Leistungsaufnahme bei aktiviertem RF-Chip viel höher
ist als die Standby Leistungsaufnahme wird versucht, jede unnötige Aktivität des
Sende-/Empfangmoduls zu vermeiden und die aktive Zeit so kurz wie möglich zu
gestalten. Auf diese Weise wird eine niedrigere durchschnittliche Leistungsaufnahme
erreicht.
Daher gibt es in PicoRadio seit Beginn des Projekts die Idee des „wakeup radios“ in der
Übertragungsschicht. Dieses „wakeup radio“ implementiert ein asynchrones Rendezvousschema. Es ist ununterbrochen aktiv, hat als einzige Aufgabe das Warten auf
„wakeup“-Signale und weckt den Knoten bei Eintreffen eines solchen Wecksignals. In
diesem Signal wird die Ziel-ID einmoduliert, so dass auch wirklich nur der gewünschte
Zielknoten aufwacht und kein anderer. Das „wakeup radio“ benötigt sehr wenig
Energie, um die Leistungsaufnahme im „Standbymodus“ nicht zu stark zu erhören.
Trifft ein Wakeup-Signal ein, wird die Sende-/Empfangseinheit aufgeweckt, um die
eintreffenden Datenpakete zu verarbeiten. [Rabaey02]
4.4
Transmitter Initiated CyclEd Receiver - TICER
Das pseudo-asynchrone Rendezvousschema “Transmitter Initiated CyclEd Receiver
(TICER)” [Lin04] wird im Quark Node temporär als Ersatz für das „wakeup radio“
genutzt. An diesem wird immer noch geforscht, weshalb es noch nicht für eine Implementierung verfügbar ist. Bei einem „cycled receiver“ Ansatz überprüft ein Knoten in
einer bestimmten Periodendauer T für ein Zeitintervall Ton, ob ein anderer Knoten Daten
an ihn schicken will. Allerdings ist hier ein guter Kompromiss zwischen Periodendauer
und Energie zu suchen. Eine hohe Periodendauer T würde zwar zu einem geringen
Energieverbrauch, aber auch zu einer hohen Latenz führen. Da aber durch ein längeres
Ton die Latenz nicht verkleinert wird, sollte es so kurz wie möglich sein.
- 15 -
Ton
Ton
RTS
DATA
TX
Tsleep
Ton
Tlisten
RTS = request to send
CTS = clear to send
ACK = acknowledge
CTS
ACK
RX
T
Abbildung 4-3
TICER Schema
[Lin04]
Sobald ein Knoten ein Paket verschicken möchte, entweder Sensordaten oder Pakete die
weitergeleitet werden müssen, erwacht der Sensorknoten und überwacht den Kanal für
ein Zeitintervall Ton. Wird auf diesem Kanal nicht gesendet, beginnt er RTS Signale
(„request-to-send“) an den Zielknoten aus der Nachbarschaft zu senden. Danach wartet
er nach jedem versendetem RTS Signal für eine Zeitspanne Tl auf eine Antwort.
Der Zielknoten wird irgendwann nach seiner regulären Schlafzeit T aufwachen und das
RTS Signal empfangen. Sobald der Sender das CTS („clear-to-send“) Signal vom
Empfänger erhalten hat, beginnt er mit der Übertragung des eigentlichen Datenpakets.
Hat der Empfänger dieses korrekt erhalten, quittiert er dies mit einem ACK („acknowledge“) Signal.
Um Energie zu sparen sollten die RTS, CTS und ACK Signale so kurz wie möglich
sein. Zudem sollte die Zeit, die ein Knoten zwischen zwei RTS Signalen schläft, so
lange wie möglich sein. Sie darf aber nicht länger als Ton sein, da sonst der Zielknoten
aufwachen und sich wieder schlafen legen könnte, ohne das RTS Signal empfangen zu
haben.
4.5
Energieeffizienter 2-Kanal „Transceiver“
Um dem Ziel der Leistungsreduzierung bis auf die unterste Ebene Rechnung zu tragen,
wurde ein spezieller „Transceiver“ entwickelt [Otis04]. Dies ist der Strange Chip aus
der Quark Node Implementierung [Abbildung 3-7]. Die Entwurfsziele für den Chip
waren eine extrem niedrige Leistungsaufnahme, ein hoher Grad der Integrierung und
eine kurze Anschaltzeit. Hohe Anschaltzeiten führen zu unnötigem Energieverbrauch,
ohne dass die Energie für die Übertragung genutzt werden kann.
Der „Transceiver“ hat eine Empfindlichkeit von -78dBm. Pro Kanal können bis zu
40kb/s übertragen werden und die Startzeit beträgt 10µs. Es wurde ein 2-Kanal „Transceiver“ gewählt, um die Effektivität der RF-MEMS (= „radio frequency microelectro- 16 -
mechanical“) Resonatoren zu demonstrieren. Es könnten aber auch wesentlich mehr
Kanäle verwendet werden. Zusätzlich wird hierdurch eine höhere Flexibilität in den
Modulationsschemata erreicht. Der Empfänger kann zwei verschiedene „On-Off
Keying“ (OOK) Datenströme zeitgleich empfangen, oder einen „Frequency-Shift
Keying“ (FSK) Datenstrom. Zwischen diesen beiden Modulationsschemata kann so
ohne Änderungen am Empfänger, durch Anpassungen im digitalen oder analogen
Basisband, umgeschaltet werden. Diese sind auf der PicoNode Platine (der analoge
Teil) und im Charm Chip als ASIC angesiedelt.
Um die strengen Entwurfsziele zu erreichen werden neue RF-MEMS Resonatoren
verwendet. Für die Implementierung des Quark Node im Speziellen wurden „Thin-Film
Bulk Acoustic Wave“ (FBAR) Resonatoren der Firma Agilent Technologies verbaut.
Diese weisen bei etwa 1,9GHz ihre Resonanzfrequenz auf. Durch diese relativ hohe
Trägerfrequenz können kleinere Chipantennen verwendet werden, was die Integrierungsdichte zusätzlich erhöht.
Match
CSA
Envelope
Detector
BB
CSA
Envelope
Detector
BB
LNA
Low Noise
Amplifier
Channel
Select
Amplifier
BaseBand
Abbildung 4-4
Architektur des Empfängers
[Otis04]
Beide „Channel-Select Amplifier“ (CSA) des Empfängers [Abbildung 4-4] werden von
jeweils einem FBAR-Resonator abgestimmt, der die Kanäle auswählt. Da die Frequenzstabilisierung vom MEMS Resonator durchgeführt wird, müssen keine Quarzkristalle
verwendet werden, was wiederum Energie spart. Außerdem wird kein „phase-locked
loop“ (PLL) zur Taktsynchronisation benötigt, was neben einer weiteren Energieersparnis zu einer wesentlich kürzeren Startzeit als bei einem herkömmlichen Empfänger
führt.
PA
Baseband
Data
Oscillator
Power Amplifier
Abbildung 4-5
Architektur des Senders
[Sheets04]
- 17 -
Matching
Network
In der Sendeeinheit wird ebenfalls ein MEMS basierender Oszillator verwendet. Dieser
Oszillator wird direkt von den Basisbanddaten moduliert. Somit werden energiefressende Mixer und PLLs vermieden. Mehrere Kanäle könnten durch Einstellen der Oszillatorfrequenz, oder einfach durch mehrere parallele Sender implementiert werden. Da die
Startzeit des Oszillators mit 1µs extrem kurz ist, kann der Sender zwischen den
einzelnen zu übertragenden Bits sogar ausgeschaltet werden.
4.6
Senken der SRAM Verlustleistung
Die bisher vorgestellten Optimierungen in der Leistungsaufnahme bezogen sich alle auf
das Senden und Empfangen von Daten. Da der Sensorknoten 99% der Zeit am Schlafen
ist, muss die Leistungsaufnahme im „Standbymodus“ des PicoNodes reduziert werden,
um einen guten mittleren Energieverbrauch zu erreichen.
Ein Leistungsverbraucher im „Standbymodus“ des Sensorknotens ist der SRAM
Speicher im Charm Chip. Immer kleinere Strukturen auf den Chips führen zu immer
größeren Energieverlusten durch Leckströme [Abbildung 4-6]. Dieses Problem stellt
eine der größten Herausforderungen in zukünftigen „System-on-Chip“ (SoC) Entwürfen
dar. Um ihnen zu begegnen wird versucht, diese Verlustleistung durch eine niedrigere
Versorgungsspannung zu reduzieren.
Die „Data Retention Voltage“ (DRV) definiert die minimale Versorgungsspannung Vdd,
bei welcher die Daten im Speicher gerade noch erhalten bleiben. Durch Reduzieren der
„Standby“-Versorgungsspannung, kann die Verlustleistung deutlich verringert werden.
Um die Versorgungsspannung zu generieren befindet sich auf dem Charm Chip ein
separater Spannungskonverter (VC) [Abbildung 3-6]. Dadurch kann die Verlustleistung
einer SRAM Speicherzelle in 0,13 µm Technologie um bis zu 90% reduziert werden.
[Qin04]
Abbildung 4-6
Übersicht über Verlustleistung basieren auf ITRS Roadmap
[Sheets05]
- 18 -
4.7
„Power Controller“
Zusätzlich befindet sich auf dem Charm Chip [Abbildung 3-6] ein „Power Controller“,
der für das „Powermanagement“ auf dem Chip verantwortlich ist. Mittels offensiven
„Powermanagements“ wird versucht durch ein reaktives System die acht Untersysteme
auf dem Chip selektiv mit Energie zu versorgen, wenn diese benötigt werden [Rabaey02-2]. So wird auch in den anderen Subsystemen des Chips Verlustleistung durch
Leckströme vermieden.
Die Untersysteme werden eingeschaltet, sobald an ihren Schnittstellen ein Ereignis
auftritt. Diese Ereignisse können von externen Quellen oder von benachbarten Subsystemen hervorgerufen werden. Externe Quellen sind hierbei Sensoren oder der Funkempfänger. Abbildung 4-7 verdeutlicht die Zusammenhänge zwischen den einzelnen
Subsystemen.
Abbildung 4-7
Blockdiagramm des Sensorknotens
[Rabaey02-2]
- 19 -
5
Ausblick
Die zukünftige Entwicklung des PicoNodes wird klar von der weiteren Reduzierung der
Leistungsaufnahme geprägt. Ruft man sich die Verbrauchsdiagramme des Quark Nodes
in Erinnerung [Abbildung 3-5], gibt es bei der Leistungsaufnahme der ineffizienten
Spannungsregulatoren und des externen Taktgebers Handlungsbedarf. Bisher wurden
nur kommerziell verfügbare Spannungsregulatoren verbaut, die nicht für einen effizienten low-power Betrieb ausgelegt sind. Der Taktgeber könnte zukünftig durch spezielle
energieeffizientere Taktgeber ersetzt werden, was in jüngster Zeit mit „integrated
silicon clocks“ versucht wurde [Rabaey05]. Allerdings sind hierfür noch keine Publikationen verfügbar.
Sämtliche Bestandteile der „miscellaneous logic“ könnten entweder in den Charm oder
in den Strange Chip integriert werden, was die Leistungsaufnahme weiter reduzieren
würde. Ebenso wird in Zukunft der analoge Schaltkreis des Basisbands in den Funkchip
integriert werden. Dies würde den Energiebedarf in dieser Einheit um etwa Faktor 20
reduzieren. [Sheets04]
- 20 -
Literaturverzeichnis
[Rabaey02]
Jan M. Rabaey et al. “PicoRadio Supports Ad Hoc Ultra-Low Power
Wireless Networking”; IEEE Computer Volume 33, No. 7, pp. 42-48,
July 2000
[PicoRadio]
Offizielle Homepage des Berkeley PicoRadio Projekts:
http://bwrc.eecs.berkeley.edu/Research/Pico_Radio/
[Stand 04.02.2005]
[Sheets04]
Mike Sheets, Jan M. Rabaey et al. “A (6x3)cm² Self-Contained EnergyScavenging Wireless Sensor Network Node”; Proceedings of the
Wireless Personal Multimedia Communications Conference, Abano
Terme, Italy, September 12-15, 2004
[Burghardt02] Fred Burghardt, Susan Mellers, Jan Rabaey, “The PicoRadio Test Bed”,
December 30, 2002
[Ammer03]
Ammer, M.J.; Sheets, M.; Karalar, T.; Kuulasa, M.; Rabaey, J.;,A lowenergy chip-set for wireless intercom”, Proceedings of the Design
Automation Conference, June 2003, pp. 916-919
[Shah02]
Rahul C. Shah, Jan Rabaey, "Energy Aware Routing for Low Energy
Ad Hoc Sensor Networks", Wireless Communications and Networking
Conference, 2002. IEEE Volume 1, March 2002, pp.350-355
[Zhong01]
Lizhi Charlie Zhong, Rahul Shah, Chunlong Guo, Jan Rabaey, "An
Ultra-Low Power and Distributed Access Protocol for Broadband
Wireless Sensor Networks," IEEE Broadband Wireless Summit, Las
Vegas, N.V., May 2001
[Lin04]
En-Yi A. Lin, Jan M. Rabaey, Adam Wolisz, "Power-Efficient Rendezvous Schemes for Dense Wireless Sensor Networks," IEEE
International Conference on Communications, Volume 7, June 2004,
pp. 3769-3776
[Otis04]
B. Otis, J.M. Rabaey, "An Ultra-Low Power MEMS-Based TwoChannel Transceiver for Wireless Sensor Networks" Symposium on
VLSI Circuits, pp. 20-23, June 2004
[Sheets05]
Mike Sheets, “Winter Retreat 2005 Presentation”,
http://bwrc.eecs.berkeley.edu/Presentations/Retreats/Winter_Retreat_20
05/
- 21 -
[Qin04]
Hulfang Qin, Yu Cao, Dejan Markovic, Andrei Vledimirescu, Jan
Rabaey, “SRAM leakage suppression by minimizing standby supply
voltage”, Proceedings of the th International Symposium on Quality
Electronic Design, 2004, pp. 55-60
[Itoh02]
K. Itoh, “Low Voltage Memories for Power-Aware Systems,” Proc.
ISLPED, pp. 1-6, Aug. 2002.
[Kaxiras01]
S. Kaxiras, Z. Hu, and M. Martonosi, “Cache decay: Exploiting
generational behavior to reduce cache leakage power,” Proc. of ISCA,
pp. 240-251, Jun-Jul 2001.
[Rabaey02-2] Jan M. Rabaey et al., “PicoRadios for wireless sensor networks: the
next challenge in ultra-low power design”, Proceedings of the
International Solid-State Circuits Conference, Volume 1, Feb. 2002, pp.
200-201
[Rabaey05]
Mike Sheets, “Winter Retreat 2005 Presentation: Overview”,
http://bwrc.eecs.berkeley.edu/Presentations/Retreats/Winter_Retreat_20
05/
- 22 -