Plasmaphysik VII

Transcription

Plasmaphysik VII
Plasmaphysik VII
Reaktives Ionenätzen
Gerhard Franz
ISBN 978-3-943872-03-3
16. Dezember 2015
Inhaltsverzeichnis
1 Trockenätzverfahren I
1.1 Niederdruckplasmen ⇔ Mikrostrukturtechnik . . . . . . . . . . . . . . .
1.2 Anlagen . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3
4
7
2 Trockenätzverfahren II
2.1 Reaktives Ionenätzen? . . . . . . . . . . . . . . . . . . . . . . . . . . .
2.2 CAIBE: Experimental Facts and Interpretation . . . . . . . . . . . . . .
2.3 Schlußfolgerung . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11
11
13
18
3 Trockenätzverfahren III
3.1 Anisotropie . . . . . . . . . . . .
3.1.1 Direktionalität . . . . . .
3.1.2 Seitenwandpassivierung .
3.2 Selektivität . . . . . . . . . . . .
3.2.1 Selektivität: Volatilität des
3.2.2 Facettierung . . . . . . .
3.2.3 Metallmasken . . . . . .
3.2.4 Trilevel-Technik . . . . .
. . . . . . .
. . . . . . .
. . . . . . .
. . . . . . .
Ätzprodukts
. . . . . . .
. . . . . . .
. . . . . . .
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
19
19
21
24
27
27
28
30
32
4 Trockenätzverfahren IV
4.1 Loading-Effekt . . . . .
4.2 Micro-Loading . . . . .
4.3 Redeposition . . . . . .
4.4 Trenching . . . . . . .
4.5 Microfeatures . . . . . .
4.5.1 Shadowing . . .
4.5.2 Bowing, RIE-Lag
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
Notching
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
35
36
37
38
40
40
40
41
.
.
.
.
43
43
44
45
46
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
(ARDE),
.
.
.
.
.
.
.
5 Trockenätzverfahren V
5.1 Endpunktkontrolle . . . . . . . . . . . . . . . .
5.1.1 Änderung der Impedanz einer Entladung
5.1.2 Ellipsometrie . . . . . . . . . . . . . . .
5.1.3 OES . . . . . . . . . . . . . . . . . . .
I
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
Inhaltsverzeichniss
5.1.4
5.1.5
5.1.6
5.1.7
Interferometrische Verfahren . . . . . .
CCD-kontrollierte Laserinterferometrie
Massenspektrometrie (MS) . . . . . .
Probleme des in-situ-Monitoring . . . .
Literaturverzeichnis
1
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
.
48
50
51
51
53
2
Inhaltsverzeichnis
1 Trockenätzverfahren I
3
4
1 Trockenätzverfahren I
1.1 Niederdruckplasmen ⇔
Mikrostrukturtechnik
Ion Beam Etching
with Ar (DC)
anisotropic etching
low selectivity
poor etch rate
sputter yield at 60 Æ
poor efficiency
massive damage
MW-CCP
2.45 GHz
Ashing with O2
very soft etching
very low etch rates
no anisotropy
RF-Sputtering
13.56 MHz
option. w. Magnetron
with Ar
sputtering of dielectrics
downstream
reactive
MW
capacitive coupling R ?
capacitive
coupling
?
RF-Ion Etching
with Ar
for large areas
Reactive Sputtering
CCP-RF
Oxides from Metals
capacitive
coupling
“hot” electrode
R
CCP-RIE: (ME) “ RIE”
13.56, 27.12 MHz
ne 109 /cm3
antenna
anisotropic, selective etch. coupling
prone to high damage
HeliconDischarges
static
13.56 MHz
ne 1012 /cm3
Introduction of
static resonant excit.
Magnetic Fields
R
6
RF
?
Ion Beam Etching
with ICP-RF
mainly 2 MHz
reactive, soft
anisotropic processes
RF
?
static
R
ICP-RIE
2 or 13.56 MHz
non-resonant excit.
ne 1012/cm3
reactive, soft, anisotropic
very high etch rates
?
ECR-RIE
2.45 GHz
resonant excitat.
ne 1012 /cm3
reactive, soft, anisotropic
very high etch rates
Abb. 1.1. Flow Diagram for Mutual Development of Excitation Methods and Reactive Processes
5
CCP-IE
RF: PE, RIE, MERIE
MW: PE
t
ICP-IE
t
Plasma Etching
t
IBE
RIBE
CAIBE
t
MW-RIE
ECR-RIE
CCP, Capacitively Coupled Plasma;
ECR, Electron Cyclotron Resonance, downstream;
ICP, Inductively Coupled Plasma, downstream;
MW, Micro Wave (2.45 GHz);
PE, Plasma Etching: p > 75 mTorr (10 Pa): sample on grounded electrode;
IE, Ion Etching,
RIE, Reactive Ion Etching: p <50 mTorr (7 Pa), sample on powered electrode;
IBE, Ion Beam Etching;
MERIE, Magnetically Enhanced Reactive Ion Etching: RIE; electrons are
suppressed to reach the sample’s surface by means of a magnetic field;
CAIBE, Chemical Assisted Ion Beam Etching;
RIBE, Reactive Ion Beam Etching.
Abb. 1.2. Various dry etching methods. They mainly differ in the excitation method.
6
1 Trockenätzverfahren I
Sputtering
RF
DC-Magnetron
t
t
IBC
Dielectrics, Metals
Diamond, DLC
Plasma Coating
t
PECVD
Dielectrics, Metals
Diamond, DLC
t
Ion-Plating
Dense Metals
PECVD, Plasma Enhanced Chemical Vapour Deposition: p ≥ 1000 mTorr
(130 Pa): sample on grounded electrode;
IBC, Ion Beam Coating;
Ion Plating: p < 1 mTorr, evaporation of very dense metal on a sample atop
a powered electrode;
Abb. 1.3. Various dry coating methods. They mainly differ in the excitation method.
7
1.2 Anlagen
HohlraumResonator
Quarz-Zylinder
Faraday-Käfig
Magnetron
Wafer auf
Waferschlitten
Plasmakammer
Abb. 1.4. Tunnelreaktor, der bei Verwendung eines Faraday-Käfigs ideales isotropes Plasc Technics Plasma GmbH 1990).
maätzen erlaubt (
8
1 Trockenätzverfahren I
Spiegel
MFCs
Gaseinlaß
Einloch-Gasdusche
Langmuir-Sonde
SEERS-Sensor
Wafer
RIE-Reaktor
Pumpensystem
Ar
BCl3
Cl
Cl22
BCl
ACH34
CH
Ar
HH22 4
O
O2
Anode 2
Glasfaser
Zweilinsensystem
Monochromator
Photomultiplier +
Photodioden-Array
HR-Gitter
Heiße Elektrode
RF
RF
RF-Generator +
Anpaßnetzwerk
Computer
Abb. 1.5. Parallelplatten-Reaktor mit aufwendiger Plasmadiagnostik: Langmuir-Sonde,
SEERS-Sensor, optische Emissionsspektroskopie mit Gitter und Multidetektorbank (OMA).
Meist ist auch ein Massenspektrometer zur Restgasanalyse (RGA) und Lecksuche angeflanscht.
9
RF generator
13.56 MHz
CH4
p-network
BCl3
ICP coil
Faraday shield
gas ring
Cl2
H2
O2
Ar
SEERS
Langmuir
OES
substrate electrode with
He-backside cooling
RF generator
2 - 13.56 MHz
L-network
Abb. 1.6. ICP-Reaktor mit Faraday-Abschirmung und kapazitiv angekoppelter Elektrode.
10
1 Trockenätzverfahren I
Reaktivgaseinlaß (CAIBE-Verfahren)
Ionenstrahlquelle
Einschwenkbare
Ionenstrom-Meßblende
Reaktivgaseinlaß
(RIBE-Verfahren)
Schleusenventil
Prozeßkammer mit
Schleusenfunktion
Ionenstrahl
Argon
Rotierender, wassergekühlter, von 0-90° kippbarer Substratteller
Beschleunigungsgitter
Substrate
Turbomolekularpumpe
Einschwenkbare
Prozeßkammer in
Strahlprofilsonde
geöffneter Position
Vorpumpe
Abb. 1.7. Principal sketch of a CAIBE system. Ions are generated in a plasma source (DC:
Kaufman, ECR or ICP) extracted and accelerated through a lens system and hit a target —
the substrate. From the CAIBE shower head, reactive gas is bled into the vacuum and supports
the etching.
2 Trockenätzverfahren II
2.1 Reaktives Ionenätzen?
Ion-Assisted Chemical Etching
Mask
Sputtering
Reactive Ion Etching
Mask
Chemical
Etching
Gold
Gold
Platinum
Platinum
Titanium
Substrate
(GaAs)
Abb. 2.1. Dry etching can consist of at least 4 different processes:
(1) Sputtering with ions and fast neutrals,
(2) spontaneous chemical etching with neutrals,
(3) ion-assisted chemical etching with neutrals,
(4) and “realreactive ion etching.
11
Titanium
12
2 Trockenätzverfahren II
1. Sputtering according to Sigmunds theory exhibiting for most lattices an angular
maximum of the sputter yield around 60◦ [442]: k1 .
2. Isotropic spontaneous etching: k2 .
3. Ion-assisted chemical etching with 2 mutual alternatives leading to a maximum of
the angular yield of 90◦ . In either case, the reaction will strongly depend on the
absorption of reactive neutrals: k3 . Hence, this reaction type can be modelled using
Langmuirs adsorption theory:
• Adsorption of neutrals on a clean surface and subsequent reaction to weakly
bound species will enhance the sputtering yield at normal incidence (Sigmunds theory cannot be valid, because these species are no part of the host
lattice!) or may lead to enhanced thermal evaporation either
• ions will generate a damaged surface (which is most effective for normal incidence), and the reactive species will easily dock at the highly reactive site and
react to (volatile) compounds.
4. Reactive ions (k4 )
• transfer momentum to the surface and cause a collision cascade which eventually leads to sputtering events and they
• supply reactive species to the surface which form chemical bonds to new compounds which have a lower surface binding energy to the host lattice and can
easily be sputtered away.
ER = k1 jCF+x + k2 jCF4 + k3 jCF4 jCF+x + k4 jCF+x ,
(15.1)
2.2 CAIBE: Experimental Facts and Interpretation
13
1750
1000
1250
ion energy [eV]
1000
selectivity
750
500
750
250
500
250
0
selectivity
ion energy [eV]
1500
0
1
10
100
1000
discharge pressure [mTorr]
Abb. 2.2. Due to different pressure and incident energies, we can roughly differentiate several
regimes of etching. Ion energy and selectivity mainly behave mutally complementary. For real
reactive ion etching, very high plasma desities are required.
Tab. 2.1. The (at least) 4 different processes can be distinguished in principle by these
criteria.
Method
Ion-Induced
Sputtering
Rate Equation
k1 jCF+x
Non-Arrhenius
Selectivity
poor
Profile
mainly anisotropic
Chemical
Etching
k2 jCF4
Arrhenius or
Non-Arrhenius
extremely
high
isotropic,
sensitive to
crystall. planes
Ion-Assisted
Chemical Etching
k3 jCF+x jCF4
Non-Arrhenius
high
anisotropic
Reactive Ion Etching
k4 jCF+x
Non-Arrhenius
high
anisotropic
2.2 CAIBE: Experimental Facts and
Interpretation
14
2 Trockenätzverfahren II
ER = k1 jCF+x + k2 jCF4 + k3 jCF4 jCF+x + k4 jCF+x ,
(15.2)
Kinetics: Which is the rate-limiting step? Is it
• the generation of reactive species in the plasma (gas reaction), or
• is it a step at the surface-site (solid state reaction)?
This can be best clarified using ion beam etching with chemical assistance (CAIBE), since
here the physical and chemical effects are clearly separated.
SiO2 is etched with a beam of Ar+ ions with the chemical assistance of CF4 (Figs.
15.3, 15.4).
Etch yield depends on two parameters: flux of neutrals and energy of ions (Fig. 15.3).
• sharp increase for small neutral gas fluxes, levelling off for higher fluxes;
• more or less linear dependence on the kinetic energy of the projectiles (ions) which
is more pronounced for higher ion energies.
2.2 CAIBE: Experimental Facts and Interpretation
15
SiO2-Ätzrate [10 14 cm -2s -1]
60
0,23
0,15
0,08
0,05
2
mA/cm
50
40
30
20
10
0
0
10
20
30
40
50
Adsorbiertes Gasvolumen [cm 3]
CF x-Fluß [10 16 cm -2s -1]
1,5
1,0
0,5
0,0
Langmuir-Isotherme für
adsorbiertes Gas pro g Adsorbens
0
5
10
15
20
p [mbar]
25
30
Abb. 2.3. Frappierende Übereinstimmung zwischen einer CAIBE-Ätzung von SiO2 mit CF4 mit
der Langmuirschen Adsorptionsisothermen (N2 über Cu-Pulver) [714] [712]. Etch rate of SiO2
in a CAIBE system with CF4 as etchant and CF+
y as parameter. To a very small part, this gas will
decompose to neutrals CFx with unknown composition and to ions CF+
y which will constitute
the ion beam (legend values in mA/cm2 ) [711]. Data are fitted by Mayer and Barker using
a Langmuir adsorption model.
16
2 Trockenätzverfahren II
Abb. 2.4. Modell der Wechselwirkung von adsorbierten
Cl2 -Molekülen auf einer atomar
reinen Silicium-Oberfläche, die
dem Beschuß von Ar+ -Ionen
ausgesetzt ist.
Si
Cl2
Ar+
10,0
Ätzrate [1014s-1]
7,5
0,05 mA/cm
5,0
2
Gesamt-Ätzrate
chemische Ätzrate
physikalische Ätzrate
2,5
0,0
0
20
40
60
80
100
p [mTorr]
Abb. 2.5. Calculated CAIBE etch rate of SiO2 in an Ar discharge with CF4 downstream-flow
for different ion beam densities.
2.2 CAIBE: Experimental Facts and Interpretation
10
17
100
E
6
total etch rate
physical fraction
chemical fraction
chemical fraction [%]
4
2
0
0
10
20
30
16
-2 -1
CFx flux [10 cm s ]
40
97
100
5
ER [10 15 atoms cm -2 s-1]
98
chemical fraction [%]
99
0.05 mA/cm2
4
90
0.25 mA/cm2
3
total etch rate
physical fraction
chemical fraction
chemical fraction [%]
2
80
1
chemical fraction [%]
ER [1014 atoms cm 2 s-1]
8
70
0
0
10
20
30
16
-2 -1
CF4 flux [10 cm s ]
40
Abb. 2.6. Die vollständige Ätzrate kann nach Gln. (12.7) als aus einem chemischen und physikalischen Teil zusammengesetzt angenommen werden. Mit zunehmender Ionenstrahldichte gewinnt
der physikalische Teil mehr und mehr an Bedeutung. Man beachte den zunehmenden Maßstab
der y-Achse! Dargestellt ist die Ätzrate von SiO2 in einer IBE-Entladung von CF4 nach den
Daten von Mayer und Barker [714].
18
2 Trockenätzverfahren II
2.3 Schlußfolgerung
Konventionelles RIE ist in Wirklichkeit ionenunterstütztes chemisches
Ätzen:
Si + CF4 −→ SiF4 + C.
(15.3)
• Typische Plasmadichte eines kapazitiv gekoppelten RF-Plasmas:
1010 /cm3 ⇒
• Ionenfluß: ≈ 1015 /cm2 sec (etwa Ar-Plasma, Te : 3, 5 eV, nP : 1 · 1010
cm−3 , ji : 2 · 1015 /cm2 sec), etwa 0,15 mA/cm2 .
• Mittlere Ätzraten von 100 nm/min = 16,7 Å/sec:
• Abgetragene Si-Atome/Ion: etwa 3.
• Notwendige Anzahl von Halogenatomen: 10.
• SiF4 -Fluß, der zehnmal so hoch ist.
3 Trockenätzverfahren III
3.1 Anisotropie
WF
W0
Isotropes Profil
Maske
zu
ätzende
Schicht
Substrat
M0
q
W0
dV
dh MF
q
Konisches Profil
positiver
Böschungswinkel
Maske
zu
ätzende
Schicht
M0
Substrat
q
W0
MFF
dV
dh
Konisches Profil
Konisches
Profil
negativer
Böschungswinkel
MF
Maske
zu
ätzende
Schicht
M0
Substrat
Anisotropes Profil
W0
Abb. 3.1. Ätzprofile für rein isotrope, rein anisotrope und in der Charakteristik dazwischen
liegende konische“ Ätzung mit positivem oder negativem Böschungswinkel. W ist der Mas”
kenabstand, M die Maskenbreite, der Index 0 vor, der Index F nach der Ätzung. dh ist der
horizontale ( Unterätzung“), dv der vertikale Abtrag. Der Konuswinkel Θ ist der arctan dv /dh
”
c Academic Press).
[588] (
• dh ist der horizontale Abtrag ( Unterätzung“),
”
• dv der vertikale Abtrag.
•
dv
dh
bzw. die Differenz 1 −
Aspektverhältnis“ A.
”
dh
dv :
Anisotropieverhältnis“ oder auch
”
• Rein anisotrope Ätzung: A = ∞ ∨ 1,
• rein isotrope Ätzung: A = 1 ∨ 0.
19
20
3 Trockenätzverfahren III
x
Maske
1,5
2,5
2
1
h
Substrat
Abb. 3.2. Beim isotropen Ätzen kann die Maske stark unterätzt werden. Eine Unterätzung von
100 % (x/h = 1) bis zu 250 % (x/h = 2, 5) täuscht einen Gang zu höherer Anisotropie lediglich
vor. Daher ist der Bezug auf ein (x, y, z)-Normal erforderlich, wie es sich zwangsläufig durch die
c The American Chemical Society).
nicht angegriffene Maskenstruktur ergibt [590] (
3.1 Anisotropie
21
3.1.1 Direktionalität
Von welchen Größen hängt das Anisotropieverhältnis ab?
• Verhältnis der Flüsse Neutralteilchen/Ionen
• Spontane Ätzung −→ horizontale Unterätzung
• Deposition an den Seitenwänden (Passivierung)
• Desorption von Reaktionsprodukten (Temperatur, Druck)
Tab. 3.1. Ätzung von Silicium in CF4 : Abhängigkeit der Ionenenergie, Fluorfluß und Anisotropie von verschiedenen Parametern.
Parameter Änderung
Druck
RF-Power
Beladung
H2
O2
Anstieg
Anstieg
Anstieg
Anstieg
Anstieg
Zielgröße
F-Fluß
EIon / < E > Anisotropie
Zunahme
Abnahme
Abnahme
Zunahme?
Anstieg
Zunahme
Abnahme
Zunahme
Abnahme
Zunahme
Zunahme
Abnahme
22
3 Trockenätzverfahren III
60
ER [nm/min]
50
40
SiO2
Si
30
20
10
0
0
10
20
30
40
H2-Anteil in CF4 [%]
Abb. 3.3. In CF4 nimmt die Ätzrate von Si mit steigendem H2 -Anteil im Ätzgas stärker ab als
c IBM).
die von SiO2 . [727] (
3.1 Anisotropie
DC bias [-V]
200
150
100
23
C2F4
H2 addition
polymerization
C4F10
C2F6
CF4
O2 addition
etching
50
0
1
2
3
F:C-ratio of etching species
4
Abb. 3.4. Schematische Darstellung des Einflusses des F:C-Verhältnisses im reaktiven Gas und
des DC-Bias auf die Reaktionsverläufe auf der Substratoberfläche. Erhöhte Beladung führt wie
c IBM).
Wasserstoffzugabe zu stärkerer Polymerbildung [727] (
24
3 Trockenätzverfahren III
3.1.2 Seitenwandpassivierung
Abb. 3.5. CCP-RIE von GaP in Cl2 : wegen fehlender Seitenwandpassivierung beobachtet man
spontanes horizontales Ätzen, was zu einem nahezu isotropen Ätzprofil Anlaß gibt.
3.1 Anisotropie
25
Tab. 3.2. Seitenwandpassivierung: Beispiele, Filmzusammensetzung und Mechanismen.
System
Film
Ätzgas
Aluminium
CCl4
(CClx )∞
GaAs
BCl3 /Cl2 (BCl2 )∞
InP
CH4 /H2 (CH2 )∞
Silicium
CF4 /O2
SiOx
Silicium
CF4
(CF2 )∞
Mechanismus
Kommentar
Polymerbildung
Polymerbildung
Polymerbildung
Oxidation
schwierig bei PR
Polymerbildung
hohe Drücke
26
3 Trockenätzverfahren III
O
B
10 µm
Cl
à Intensity
Abb. 3.6. Die im oberen REM-Bild dargestellten, etwa 20 µm tief geätzten Quader weisen
wegen Seitenwandpassivierung eine senkrechte Ätzflanke auf. Der Film besteht überwiegend aus
polymerem (B2 Cl4 )∞ , was durch TOF-SIMS (unten) nachgewiesen werden konnte: Auf dem
geätzten Quader ist die Oberflächen-Konzentration des Sauerstoffs deutlich niedriger als die des
Chlors. Da chemisch weder aus Gallium- noch aus Boroxid ein Chlorid entstehen kann, muß sich
dieses vorher, also während des Ätzprozesses, gebildet haben.
3.2 Selektivität
27
3.2 Selektivität
• Verhältnis der Ätzraten zwischen Maske und Substrat ⇒
Maskenerosion, Facettierung
• Sandwichstruktur des Substrats
• Ungleichmäßige Schichtdicke
– Endpunktkontrolle
– Umschalten auf anderen Ätzprozeß
3.2.1 Selektivität: Volatilität des Ätzprodukts
• PR-Veraschen auf Dielektrika, Halbleitern und Metallen: Ätzstopp auf dem Substrat
• PR-Strukturieren in einem O2 -Plasma mit SiO2 - oder Si3 N4 Maske Trilevel-Technik
Thermodynamische Selektivität
• Endotherme Reaktionen laufen langsamer ab als exotherme:
• Si/SiO2 mit Cl2 :
Si + Cl2 −→ SiCl4 ∆H < 0.
SiO2 + Cl2 −→ SiCl4 + O2 ∆H > 0.
28
3 Trockenätzverfahren III
3.2.2 Facettierung
normal. Ätzrate
1,00
0,75
0,50
0,25
0,00
0
30
60
Strahlwinkel f [°]
90
q1
q2
q3
Abb. 3.7. Die Ätzrate (ER) hängt entscheidend vom Einfallswinkel auftreffender Ionen ab. Die
Tatsache, daß Oberfläche und Seite der Maske meist nie im rechten Winkel aufeinandertreffen,
bedingt eine höhere Ätzrate im Top“-Bereich, wodurch die Steilheit der Maske während des
”
Ätzprozesses weiter reduziert wird: Facettierung mit nachfolgender Konusbildung (nach [499]
c Philips).
3.2 Selektivität
29
Abb. 3.8. Der Böschungswinkel der geätzten Seitenwände ist wegen der Facettierung meist
positiv: Tapering“ von InP in Ethan/Wasserstoff 10:40, 5 Pa, 0,25 W cm−2 . Man beach”
te die geriffelte Seitenwand, die durch exakte lithographische Übertragung des Maskenrandes
(gesputtertes Al2 O3 ) in den Halbleiter entstanden ist [623].
30
3 Trockenätzverfahren III
3.2.3 Metallmasken
ER(q)/ER(0)
2,5
2,0
Ti
1,5
Mo
1,0
0,5
0
20
40
Strahlwinkel q [°]
60
Abb. 3.9. Verschiedene refraktäre Metalle eignen sich wegen der schwachen Winkelabhängigkeit ihrer Ätzrate und der damit verbundenen geringen Anfälligkeit zur Facettierung besonders
c The American Institute of Physics).
als Maskenmaterial. (EIon = 1 keV) [624] (
3.2 Selektivität
31
Abb. 3.10. Oberstes Erfordernis für eine winkelgenaue Übertragung ist die Ätzresistenz der
Maske. So bestimmt der Inzidenzwinkel der (Rest-)Maske den Neigungswinkel im Substrat entscheidend, hier dargestellt an einer Sandwich-Kegel- bzw. Zylinderstruktur in AlGaAs/GaAs.
Maske aus PR.
32
3 Trockenätzverfahren III
3.2.4 Trilevel-Technik
Schichtfolge
nach PR-Lithographie
Struktur-Resist
Dielektrische Schicht
Bottom-Resist
Substrat
nach CF4/O2-RIE
nach O2-RIE
Abb. 3.11. Trilevel-Photoresist-Technik:
- Aufschleudern eines sehr dicken Photolacks (AZ 4562, 8 − 10 µm): Bottom-Resist
- Ausheizen bei sehr hohen Temperaturen (180 ◦ C
- Sputtern einer dielektrischen Schicht SiO2 oder Si3 N4 (200 nm)
- Aufschleudern und Lithographie des Struktur-Resists
- CF4 /O2 -RIE: Strukturieren der dielektrischen Schicht
- O2 -RIE: Strukturieren des Bottom-Resists und Entfernen des Struktur-Resists.
3.2 Selektivität
33
Abb. 3.12. Trilevel-Photoresist-Technik mit AZ 4562 Bottomresist, 200 nm SiO2 und AZ 5214.
34
3 Trockenätzverfahren III
Abb. 3.13. Durch die Verwendung eines Trilevel-Photoresists (oben, dunkel) mit spezieller
Glättungstechnik der Photolackkanten wurde es möglich, das sehr ätzresistente GaN/AlGaN
(unten, hell) mit senkrechten, extrem glatten Facetten zu ätzen [600] [417] [625].
4 Trockenätzverfahren IV
• Makroskopischer Loading-Effekt
• Mikroskopischer Loading-Effekt
• Redeposition
• Microfeatures
35
36
4 Trockenätzverfahren IV
250
ER [nm/min]
200
1000 W
150
500 W
100
300 W
50
150 W
0
0
100
200
300
400
A [cm 2]
Abb. 4.1. Die Ätzrate kann signifikant von der Menge oder der Beladung des zu ätzenden
Materials abhängen: Si-Ätzrate in einer CF4 /O2 -Entladung bei 7 Pa und einem Fluß von 11
l/min [605].
4.1 Loading-Effekt
• Der Ätzprozeß ist der Hauptverbraucher des Ätzgases.
• ⇒ durch Erhöhung der eingekoppelten RF-Leistung (also Erhöhung
der Plasmadichte) kann der Loading-Effekt nicht bekämpft werden.
• Der Ätzprozeß an der heißen Scheibe muß von untergeordneter Bedeutung werden.
• Sind Loading“-Effekte vorhanden, muß eine Angabe zum gesamten
”
zu ätzenden Material und der Grenzwert für kleine zu ätzende Flächen
(FA → 0) angegeben werden.
4.2 Micro-Loading
37
4.2 Micro-Loading
PR
PR
PR
Substrat
Substrat
PR
Substrat
Substrat
Abb. 4.2. Die Ätzrate kann signifikant von der Dichte der zu ätzenden Strukturen abhängen,
hier schematisch angedeutet bei einer Trench-Ätzung.
38
4 Trockenätzverfahren IV
4.3 Redeposition
Abb. 4.3. In Argon strukturiertes GaAs nach Ablösung der sehr dicken PR-Maske mit exzellent
ausgeprägten, durch Redeposition entstandenen Hasenohren“ [632].
”
4.3 Redeposition
39
(1) Substrat mit strukturierter Maske
(2) nach der Ätzung
(3) nach Entfernen der Maske
rechtwinkelig
abgerundet
Metall/Oxid
Abb. 4.4. Eine Möglichkeit, Redepositionseffekte zu verringern oder ganz zu unterdrücken,
besteht in der Verrundung der Maske aus PR oder der Verwendung sehr dünner Masken mit
c The American Institute of Physics).
hoher Standzeit [636] (
40
4 Trockenätzverfahren IV
Maske
Maske
Abb. 4.5. Modellhafte Darstellung des Micro- Trenching“ in einem Nest von Gräben (o. lks.)
”
und bei isolierten Gräben und Stegen (u. re.) sowie Grabenbildung an einem isolierten InP-Steg,
der in MeCl/H2 (Verhältnis 10:30, 4 Pa, 0,3 W/cm2 ) geätzt wurde [637].
4.4 Trenching
4.5 Microfeatures
41
Maske
Abb. 4.6. An den bereits herausgeätzten Wänden wird eine Abschattierung der auftreffenden
Ionen beobachtet: Shadowing“, das zur Ausbildung eines breiten Fußes Anlaß gibt: Ätzung
”
eines Vertical-Cavity-Lasers“ aus AlAs/GaAlAs/GaAs mittels RIE, bestehend aus dem oberen
”
Spiegel aus 17 Spiegeltripeln, insgesamt 2,88 µm; dem oberen Spacer“ aus AlGaAS (122 nm);
”
der aktiven Zone aus InGaAs ( Single Quantum Well“ (SQW) 8 nm); dem unteren Spacer“ aus
”
”
AlGaAs (122 nm) und einem Teil des unteren Spiegels, wiederum bestehend aus Spiegeltripeln
aus AlAs/AlGaAs/GaAs (20 − 60 nm dick). Ausgezeichnet unterscheidbar sind insbesondere die Höhenlinien“ des Fußes“. Die Maske, die gleichzeitig als Metallisierung dient, ist der
”
”
Bell-Kontakt“ [601] [470] [471] [472].
”
4.5.2 Bowing, RIE-Lag (ARDE), Notching
mask
_
_
_ + _
_
_
_
_
_
_
_
_
mask
mask
+
_
_
_
Abb. 4.7. Beispiele von unerwünschten Charakteristiken beim Trockenätzen von Slots“ oder
”
Gräben, bedingt durch Aufladungs- und/oder Transportprobleme bei mangelhafter Seitenwandpassivierung. Lks.: Sidewall-Bowing, Mitte: RIE-Lag, re.: Notching beim Auftreffen auf eine
ätzresistente Schicht.
42
4 Trockenätzverfahren IV
Abb. 4.8. ARDE: Die Ätzrate von engen Strukturen (unterhalb eines Verhältnisses Fensterweite/Ätztiefe kleiner als Eins) hängt von der Ätzzeit ab. Dies ist hier dargestellt an Tiefenätzungen
c A. Goodyear Oxford Plasma
in GaAs (der linke Graben ist 77 µm tief, Cl2 /BCl3 -Plasma) Technology, 2003.
1,0
ER/ER(0)
0,9
0,8
Lochdurchmesser
0,9 mm
0,7 mm
0,45 mm
0,3 mm
0,25 mm
0,7
0,6
0,5
0
5
10
15
D
20
25
Abb. 4.9. Für Öffnungsdurchmesser von Slots“ oder Grä”
ben, die gleich oder kleiner als 1
µm sind, hängt die normalisierte Ätzrate linear vom Aspektverhältnis ∆ ab (nach [643]).
5 Trockenätzverfahren V
5.1 Endpunktkontrolle
• Änderung der Impedanz einer Entladung;
• Ellipsometrie;
• Optische Emissionsspektroskopie (OES);
• Interferometrische Verfahren;
• Massenspektrometrie (MS).
43
44
5 Trockenätzverfahren V
5.1.1 Änderung der Impedanz einer
Entladung
0,75
lAl
Signal [a. u.]
Start
Endpunkt
0,50
VDC
0,25
0,00
ts ~ 7 sec
0
2
ts ~ 9 sec
4
6
t [min]
8
10
12
Abb. 5.1. Verlauf der optischen Emission und der Impedanz während einer Aluminium-Ätzung.
c
Der Impedanzverlauf ist schärfer definiert als die Änderung der optischen Emission [695] (
The American Institute of Physics).
5.1 Endpunktkontrolle
45
5.1.2 Ellipsometrie
Reflektierter
Lichtstrahl
Wafer
Einfallender
Lichtstrahl
Ionenstrahl
Analysator
Sender
c Veeco Instruments
Abb. 5.2. In-situ-Messung einer Ionenstrahlätzung mittels Ellipsometrie 2002.
46
5 Trockenätzverfahren V
5.1.3 OES
Kontaktschicht
Cap-Layer
p-dotierter
p-doped
oberer
TopSpiegel
Mirror
aktive
Active
Region
Zone
n-dotierter
n-doped
unterer
Bottom
Mirror
Spiegel
Substrat
Substrate
AlAs
GaAs/AlAs-Superlattice
AlAs/GaAs-Supergitter
GaAs
GaAs
Abb. 5.3. VCSEL (Vertical Cavity Surface Emitting Laser): Prinzipieller Aufbau (lks.) und mit
RIE herausgemeißelte Struktur (re.). Ein typischer VCSEL besteht aus einer etwa 0,2 µm dünnen
aktiven Schicht aus Quantentöpfen, deren Abmessungen und Material die emittierte Wellenlänge
bestimmen, an die sich nach oben und unten sog. Bragg-Spiegel anschließen. Diese bestehen
aus je ca. 20 Paaren von λ/4-Schichten aus AlAs/GaAs.
1,0
rel. Intensität
0,8
0,6
0,4
0,2
0,0
0
10
20
t [min]
30
Abb. 5.4.
OES-Spektrum
(Ga-Linie bei 403,3 nm) einer
VCSEL-Struktur mit oberem
Spiegel aus konsekutiven AlGaAs/AlAs-Schichten, einem
Tripeldecker ( Spacer“), dem
”
sich ein Doppeldecker vor der
aktiven Zone anschließt, in der
die Ätzung beendet sein muß.
5.1 Endpunktkontrolle
47
2 Sammellinsen
Glasfaser zum
Meßgerät
Kleine Probe im Zentrum
der heißen Elektrode
Abb. 5.5. Da die Probenfläche im Verhältnis zur Elektrodenfläche oft sehr klein ist, empfiehlt
sich die Verwendung einer fokussierenden Optik zur Einkopplung des Probenlichts in die Glasfaser
[697].
Tab. 5.1. Gebräuchliche Linien zur Endpunkterkennung mittels OES
zu ätzender
Film
PR
Si
Si3 N4
Si3 N4
SiO2
Al
Al
GaAs
GaAs
GaAs
InP
InP
flüchtige
Komponente
CO
SiF∗
N∗
CN∗
CO
AlCl∗
Al∗
As∗
Ga∗
GaCl∗
In∗
InCl∗
Wellenlänge
[nm]
297,7; 483,5; 519,5
777,0
674,0
388,3
297,7; 458,3; 519,5
261,4; 279,0
396,2
228,9; 235,0; 245,7; 278,0; 286,0
287,4; 403,3; 417,2
249,1; 334,8; 338,5
325,6; 410,1; 451,1 (Fluoreszenz)
267,3; 350,0
48
5 Trockenätzverfahren V
5.1.4 Interferometrische Verfahren
Strahlteiler
AlGaAs-Laser
Glasfaser
MFCs
x-y-Tisch
Ar
BCl3
Cl 2
Gaseinlaß
H2
Spiegel
Monochromator
Photomultiplier +
Photodioden-Array
Anode
Wafer
Heiße Kathode
RIE-Reaktor
Pumpensystem
HR-Gitter
RF
RF-Generator +
Anpaßnetzwerk
Computer
Abb. 5.6. In-situ-Kontrolle mit der Laser-Interferometrie (LI). Während bei der optischen Emissionsspektroskopie (OES) die zeitliche Abhängigkeit der Intensität der Emissionslinien, hier von
Ga bei
403,3 nm (52 S1/2 → 42 P1/2 ) und
417,2 nm (52 S1/2 → 42 P3/2 ) [700]
verfolgt wird, ist es bei der LI die durch unterschiedliche Brechungsindizes induzierte Variation
in der Reflexion des Lichts eines Festkörperlasers.
5.1 Endpunktkontrolle
49
1,0
0,8
rel. Intensität
Intensität [a. u.]
40
30
0,6
0,4
0,2
20
0
5
10
t [ a. u.]
0,0
0
5
10
15
t [min]
20
25
Abb. 5.7. Eine Endpunkterkennung mit einem Laser-Interferometer (o. re.) und der Vergleich
mit dem vorher berechneten Erwartungsinterferogramm (o. lks.) sowie das Ergebnis als REM-Bild
(u.) [600].
50
5 Trockenätzverfahren V
5.1.5 CCD-kontrollierte Laserinterferometrie
Datenerfassung
CCD - Kamera
PC
Waferfläche
Shutter zur
Programm-Selektion
Interferometer
Optisches System
• Offen:
1 mm²
Tiefenmessung
Monitor
Laser
Kollimator
Strahlteiler
a
Intensitäts-Tuner
Intensitäts-Tuner
• Geschlossen:
Endpunktbestimmung
Shutter
Referenzspiegel
150 mm
xy -Tisch
(± 25 mm)
Wafer
Kippbarer
Referenzspiegel
zur Erzeugung eines
Interferenzmusters
in der CCD-Matrix
Plasmareaktor
50 mm
Abb. 5.8. Experimenteller Aufbau eines modifizierten Laser-Interferometers nach John et al.
[702]. Bei Verwendung eines Strahlteilers kann eine Zweistrahl-Interferometrie durchgeführt werden. Zum Vergleich wird der Meßstrahl auf den blanken Bereich gelenkt und mit dem Referenzstrahl, der von einem nicht gekippten Spiegel reflektiert wird, analysiert — etwa in einer
CCD-Kamera [702].
Maskierte Oberfläche
> 300 µm
On-Chip-Gebiete zur Analyse
Geätzte Oberfläche
Interferenzmuster
> 150 µm
Layout
Phasenshift
d1
(d )
Referenzfläche
Phasenshift = f ( Ätztiefe)
Pixels
auf dem
CCD-Chip
d2
d
p d/
d = 4pd/l
Meßfläche
Abb. 5.9. Die am Meßstrahl durch Abtastung verschiedener Höhenniveaus entstehende Phasenverschiebung wird durch Interferenz mit einem Referenzstrahl optisch sichtbar gemacht, womit
eine tatsächliche Tiefenmessung gelingt [702].
5.1 Endpunktkontrolle
51
Ätztiefe
Ätzrate
200
relative Intensität [a. u.]
relative Reflektivität
1,0
0,9
Beginn
Ende
0,8
0,7
0,6
0
60
120
180
t [sec]
240
100
50
0
-60
300
Ende Beginn
InGaAs- GaAsÄtzung
150
Ende
GaAsÄtzung
Beginn
InGaAsÄtzung
0
60
120 180 240 300 360 420
t [sec]
Abb. 5.10. Lks.: Darstellung der in-situ gemessenenen Reflektivität (Referenzspiegel nicht im
Strahlengang) eines Spots aus WSiNx (Größe: 1 x 1 µm2 ). Re.: Aus interferometrischen Messungen (Referenzspiegel im Strahlengang) gewonnenes Diagramm der absoluten Ätztiefe und
der zugehörigen Ätzrate in einem Schichtsystem aus InGaAs/GaAs (Cl2 -CCP-Entladung) [702].
5.1.6 Massenspektrometrie (MS)
100
33
I [pA]
PH 2+
AsH +
76
10
1
0
3
2
20
4
40
Meßzyklus
5
60
80
Abb. 5.11. Intensitätsverlauf des
+
PH+
2 - und des AsH -Signals (Massen 33 und 76) eines Multisandwich–
Pakets von InP/InGaAsP, Fläche etwa 1 cm2 , aufgenommen mit einem Quadrex 200 von Leybold,
Sampling-Frequenz 20 sec. Schichtfolge (von lks.): (1) 200 nm InP
( Cap-Layer“, Rest); (2) 300 nm
”
In0,75 Ga0,25 As0,54 P0,46 ; (3) 200 nm
InP; (4) 430 nm In0,53 Ga0,47 As; (5)
InP- Buffer-Layer“ über Substrat.
”
5.1.7 Probleme des in-situ-Monitoring
Abb. 5.12. Ist die Ätzrate
radial nicht uniform, beobachtet man bei der Ätzung eines
Schichtpakets eine Verringerung
der Dynamik der Signale.
52
5 Trockenätzverfahren V
Literaturverzeichnis
[1] W.R. Grove: On the Electro-Chemical Polarity of Gases, Phil. Trans. Roy. Soc. (London) 142,
87 − 101 (1852)
[2] Salzer Technologies Enterprises, Inc.: Synopsis — Spring Report 1988, Santa Monica, CA 90403
[3] O. Ambacher; Vacuum Solutions, 11 − 16, May/Jun 1999
[4] T. Whitaker: LED market ready for accelerated growth, LEDs Magazine March 2007
[5] M.A. Greenwood: LEDs Expected to Light More Automobiles, Photon. Spectra 42(4), 32 (2008)
[6] Y. Nakane, T. Tsumori, T. Mifune: Semiconductor International, Jan/Feb 1979, p. 45
[7] K. Galloway: Semiconductor International, May/Jun 1979, p. 65
[8] G. Madland: Semiconductor International, Nov/Dec 1979, p. 33
[9] Semiconductor International, Dec 1998, p. 19
[10] W. Finckelnburg, H. Maecker: Elektrische Bögen und thermisches Plasma, in Handbuch der
Physik, ed. by S. Flügge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 254
[11] A. Unsöld: Der neue Kosmos, Springer-Verlag, Berlin, 1967, p. 114
[12] I. Langmuir: Oscillations in ionized gases, Proc. Nat. Acad. U.S. 14, 628 (1928)
[13] E.W. McDaniel: Collision Phenomena in Ionized Gases, J. Wiley, New York, 1964, p. 683
[14] P.W. Atkins: Physical Chemistry, Oxford University Press, Oxford, 1st edn., 1978, p. 800 f.
[15] L.D. Landau, E.M. Lifschitz: Lehrbuch der theoretischen Physik, Bd. 1: Mechanik, AkademieVerlag, Berlin, 1970, p. 56
[16] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon Press,
Oxford, 1969, p. 6 f.
[17] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, 1966, p. 10
[18] S.C. Brown: ibid, p. 11
[19] E.C. Bullard, H.S.W. Massey: The Elastic Scattering of Slow Electrons in Argon, Proc. Roy. Soc.
(London) A130, 579 − 590 (1931)
[20] S.C. Brown: ibid, p. 12
[21] J.C. Maxwell: The Scientific Papers of James Clerk Maxwell, Vol. II, ed. by W.D. Niven, Dover
Publ., New York, NY, 1952, 26 − 78
[22] W.P. Allis, P.M. Morse: Theorie der Streuung langsamer Elektronen an Atomen, Z. Phys. 70,
567 − 582 (1931)
[23] A.D. MacDonald, S.J. Tetenbaum: High Frequency and Microwave Discharges, Chapter 3 in
Gaseous Electronics, Vol. I, ed. by M.N. Hirsh and H.J. Oskam, Academic Press, New York,
1978, 173 − 217
[24] G.D. Cooper, J.E. Sanabia, J.H. Moore, J.K. Olthoff, L.G. Christophorou: Total elastic cross
section for Cl2 , J. Chem. Phys. 110(1), 682 − 683 (1999)
[25] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon Press,
Oxford, 1969, p. 25
[26] H. Nakanishi, D.M. Schrader: Simple but accurate calculations on the elastic scattering of electrons and positrons from neon and argon, Phys. Rev. A 34(3), 1823 − 1840 (1986)
[27] C. Szmytkowski, K. Maciag, G. Karwasz: Absolute Electron-Scattering Total CRoss Section Measurements for Noble Gas Atoms and Diatomic Molecules, Physica Scripta 54, 271 − 280 (1996)
53
54
Literaturverzeichnis
[28] E.W. McDaniel: ibid, p. 146
[29] E.W. McDaniel: ibid, p. 147
[30] H.W. Berry: The Scattering of Fast Argon Atoms in Argon Gas, Phys. Rev. 75(6), 913 − 916
(1949)
[31] W.H. Cramer, J.H. Simons: Elastic and Inelastic Scattering of Low-Velocity He+ -Ions in Helium,
J. Chem. Phys. 26(5), 1272 − 1275 (1957)
[32] W.H. Cramer: Elastic and Inelastic Scattering of Low-Velocity Ions: H+ in Ne, Ne+ in He, and
Ne+ in Ne, J. Chem. Phys. 28(4), 688 − 690 (1958)
[33] W.H. Cramer: Elastic and Inelastic Scattering of Low-Velocity Ions: Ne+ in A, A+ in Ne, and
A+ in A, J. Chem. Phys. 30(3), 641 (1959)
[34] S.C. Brown: ibid, p. 24
[35] G.L. Rogoff, J.M. Kramer, R.B. Piejak: A Model for the Bulk Plasma in an RF Chlorine Discharge,
IEEE Trans. Plasma Sci. PS-14(2), 103 − 111 (1986)
[36] J. Franck, G. Hertz: Über Zusammenstöße zwischen Elektronen und den Molekülen des Quecksilberdampfs und die Ionisierungsspannung desselben. Verhandlungen der Deutschen Physikalischen
Gesellschaft 16, 457 − 467 (1914), cited in: Pioniere der Wissenschaft bei Siemens, edited by E.
Feldtkeller und H. Goetzeler, Publicis MCD Verlag, Erlangen, 1994, pp. 78 − 83
[37] W.B. Nottingham, Phys. Rev. 55, 203 (1939), cited by S.C. Brown: Introduction to Electron
Discharges in Gases, J. Wiley & Sons, 1966, p. 85
[38] H. Maier-Leibnitz, Z. Phys. 95, 499 (1935)
[39] G.J. Schulz, R.E. Fox: Excitation of Metastable Levels in Helium near Threshold, Phys. Rev.
106, 1179 (1957)
[40] H.A. Bethe, E.E. Salpeter: Quantum Mechanics of One- and Two-Electron Systems, in Handbuch
der Physik, edited by S. Flügge, Vol. 35, Atome 1, Springer-Verlag, Berlin, 1957
[41] M. Inokuti: Inelastic Collisions of Fast Charged Particles with Atoms and Molecules—The Bethe
Theory Revisited, Rev. Mod. Phys. 43(3), 297 − 347 (1971)
[42] F.J. de Heer, M. Inokuti: Total Ionization Cross Section, in Electron Impact Ionization, edited by
T.D. Märk and G.H. Dunn, Springer, Wien/New York, 1985
[43] H. Bethe: Zur Theorie des Durchgangs schneller Korpuskularstrahlen durch Materie, Ann. Phys.
397, 325 − 400 (1930)
[44] W. Lotz: An Empirical Formula for the Electron-Impact Ionization Cross Section, Zeitschr. f.
Physik 206(2), 205 − 211 (1967)
[45] R.E. Fox: Study of Multiple Ionization in Helium and Xenon by Electron Impact, Adv. Mass
Spectrom. 1, 397 − 412 (1959)
[46] G.H. Wannier: The Threshold Law for Single Ionization of Atoms or Ions by Electrons, Phys.
Rev. 90, 817 − 825 (1953)
[47] S. Geltman: Theory of Ionization Probability Near Threshold, Phys. Rev. 102, 171 − 179 (1956)
[48] A.A. Mityureva, V.V. Smirnov: Approximation of the energy dependence of atomic helium cross
sections for excitations by electrons, Opt. Spectrosc. 74(1), 2 − 5 (1993)
[49] D. Rapp, P. Englander-Golden: Total Cross Sections for Inization and Attachment in Gases by
Electron Impact. I. Positive Ionization, J. Chem. Phys. 43(5), 1464 − 1479 (1965)
[50] L.D. Landau, E.M. Lifschitz: Lehrbuch der theoretischen Physik, Bd. 3: Quantenmechanik,
Akademie-Verlag, Berlin, 1970, p. 56
[51] A. v. Engel: Ionized Gases, Clarendon Press, Oxford, 1955, p. 52
[52] G.P. Li, T. Takayanagi, K. Wakiya, H. Suzuki: Cross section for 3s ionization in argon by electron
impact, Phys. Rev. A 38(4), 1831 − 1838 (1988)
[53] E.W. McDaniel: ibid, p. 410
[54] C. Tav, P.G. Datskos, L.A. Pinnaduwage: Electron attachment to boron trichloride, J. Appl.
Phys. 84(10), 5805 − 5807 (1998)
[55] Z.L. Petrovic, W.C. Wang, M. Suto, J.C. Han, L.C. Lee: Low-energy electron attachment to
BCl3 , J. Appl. Phys. 67(2), 675 − 678 (1990)
[56] R.A. Gottscho, C.E. Gaebe: Negative Ion Kinetics in RF Glow Discharge, IEEE Trans. Plasma
Sci. PS-14(2), 78 − 91 (1986)
Literaturverzeichnis
55
[57] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon Press,
Oxford, 1969, p. 5
[58] A.T. Bell: Fundamentals of Plasma Chemistry, Chapter 1 in Techniques and Applications of
Plasma Chemistry, ed. by J.R. Hollahan and A.T. Bell, J. Wiley & Sons, New York, 1974
[59] A. v. Engel: ibid, p. 57
[60] E.W. McDaniel: ibid, p. 184
[61] S.C. Brown: ibid, p. 89
[62] H.S.W. Massey, E.H.S. Burhop: ibid, Chapters 7 and 8
[63] D. Rapp; W.C. Francis: Charge Exchange between Gaseous Ions and Atoms, J. Chem. Phys.
37(11), 2631 − 2645 (1962)
[64] E.W. McDaniel: ibid, p. 252
[65] E.W. McDaniel: ibid, p. 267
[66] E.W. McDaniel: ibid, p. 245 ff.
[67] L.D. Landau, A. Achieser, E.M. Lifschitz: Mechanik und Molekularphysik, Akademie-Verlag,
Berlin, 1970, p. 227
[68] E.W. McDaniel: ibid, p. 649
[69] Q. Wang, F. Doll, V.M. Donnelly, D.J. Economou, N. Sadeghi, G. Franz: Experimental and theoretical study of the effect of gas flow on gas temperature in an atmospheric pressure microplasma,
J. Phys. D: Appl. Phys. 40(1) 1 − 10 (2007)
[70] M.J. Druyvesteyn, F.M. Penning: ibid, p. 99
[71] G. Mierdel: Elektrophysik, Dr. Alfred Hüthig Verlag, Heidelberg, 1972, p. 188
[72] J. Ingold: ibid, p. 57 ff.
[73] G.L. Weissler: Photoionization in Gases and Photoelectric Emission from Solids, in Handbuch
der Physik, edited by S. Flügge, Vol. 21, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 323
[74] A.v. Engel: ibid, p. 76
[75] H.D. Hagstrum: Auger Ejection of Electrons from Molybdenum by Noble Gas Ions, Phys. Rev.
104(3), 672 − 683 (1956)
[76] H.D. Hagstrum: Theory of Auger Ejection of Electrons from Metals by Ions, Phys. Rev. 96(2),
336 − 365 (1954)
[77] H.D. Hagstrum: Auger Ejection of Electrons from Tungsten by Noble Gas Ions, Phys. Rev. 104(2),
317 − 318 (1956)
[78] H.D. Hagstrum: Effect of Monolayer Absorption on the Ejection of Electrons from Molecules by
Ions, Phys. Rev. 104(6), 1516 − 1527 (1956)
[79] A. v. Engel: ibid, p. 87
[80] E.W. McDaniel: ibid, p. 678
[81] M.J. Ziman: ibid, S. 187 ff.
[82] E. Nasser: Fundamentals of Gaseous Ionization and Plasma Electronics, J. Wiley Interscience,
New York, NY, 1971, p. 399
[83] J.H. Ingold: Glow Discharges at DC and Low Frequencies, Anatomy of a Discharge, in Gaseous
Electronics, Vol. I, ed. by M.N. Hirsh and H.J. Oskam, Academic Press, New York, NY, 1978,
p. 25
[84] J.H. Ingold: ibid, S. 26
[85] G. Francis: The Glow Discharge at Low Pressure, inHandbuch der Physik, herausgegeben von S.
Flügge, Band 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 54 ff.
[86] I. Langmuir, H. Mott-Smith, jr.: Studies of Electric Discharges in Gases of Low Pressures, Part
I, Gen. Electr. Rev. 27, 449 − 455 (1924)
[87] I. Langmuir, H. Mott-Smith, jr.: Studies of Electric Discharges in Gases of Low Pressures, Part
V, Gen. Electr. Rev. 27, 810 − 820 (1924)
[88] G. Francis: ibid, p. 65
[89] A.J.v. Roosmalen: Plasma Parameter Estimation from RF Impedance Measurements in a Dry
Etching System, Appl. Phys. Lett. 42(5), 416 − 418 (1983)
[90] J.D. Jackson: Classical Electrodynamics, J. Wiley, New York/London/Sydney, 1962, Chapter 17
[91] A.A. Sokolow, D.M. Loskutow, I.M. Ternow: Quantenmechanik, Akademie-Verlag, Berlin, 1964,
p. 67
56
Literaturverzeichnis
[92] M. Born: Optik, Springer-Berlag, Berlin, 1971, p. 540
[93] G. Kortüm: Lehrbuch der Elektrochemie, Verlag Chemie, Weinheim, 1972, p. 172 ff.
[94] C. Kittel: Introduction to Solid State Physics, J. Wiley & Sons, New York/London/Sydney/Toronto, 1971, p. 280
[95] J.L. Vossen: Glow Discharge Phenomena in Plasma Etching and Plasma Deposition, J. Electrochem. Soc. 126(3), 319 − 324 (1979)
[96] P.W. Atkins: ibid, p. 803
[97] F.F. Chen: Electrical Probes in Plasma Diagnostic Techniques, ed. by R.H. Huddlestone and S.F.
Leonard, Academic Press, New York, NY, 1965
[98] T.I. Cox, U.G.I. Deshmukh, D.A.O. Hope, A.J. Hydes, N.S.J. Braithwaite, N.M.P. Benjamin: The
use of Langmuir probes and optical emission spectroscopy to measure electron energy distribution
functions in RF-generated argon plasmas, J. Phys. D: Appl. Phys. 20, 820 − 831 (1987)
[99] L. Tonks, I. Langmuir: A General Theory of the Plasma of an Arc, Phys. Rev. 34(6), 876 − 922
(1929)
[100] M.A. Lieberman, A.J.Lichtenberg: Principles of Plasma Discharges and Materials Processing, 1st
edn., Wiley, New York, 1994, pp. 301 − 326
[101] P.W. Atkins: ibid, p. 798 ff.
[102] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, Chapter 3, pp. 86 − 90
[103] M.A. Lieberman, A.J. Lichtenberg: Principles of Plasma Discharges and Materials Processing,
1st edn., Wiley, New York, 1994, p. 306
[104] W. Lotz: Electron-Impact Ionization Cross Sections and Ionization Rate Coefficients for Atoms
and Ions, Astrophys. J., Suppl. 14, 207 − 238 (1967)
[105] K. Behringer, U. Fantz: Spectroscopic diagnostics of glow discharge plasmas with non-Maxwellian
electron energy distributions, J. Phys. D: Appl. Phys. 27, 2128 − 2135 (1994)
[106] H.-M. Wu, D.B. Graves, R. K. Porteous: Comparison between a two-dimensional simulation and
a global conservation model for a compact ECR plasma source, Plasma Sources Sci. Technol.
4(1), 22 − 30 (1995)
[107] M.J. Ziman: Prinzipien der Festkörpertheorie, Verlag Harri Deutsch, Zürich und Frankfurt am
Main, 1975, p. 156 ff.
[108] J.D. Jackson: ibid, p. 226 ff.
[109] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London, 1976, p. 213
ff.
[110] J. Ingold: ibid, p. 26 ff.
[111] A.v. Engel: ibid, p. 147 ff.
[112] E.W. McDaniel: ibid, p. 393
[113] S.C. Brown: ibid, p. 190
[114] G.F. Weston: Cold Cathode Glow Discharge Tubes, ILIFFE Books, London, 1968, p. 5
[115] J. Ingold: ibid, p. 28
[116] S.C. Brown: ibid, p. 189
[117] J. Ingold: ibid, p. 32
[118] A.L. Ward: Calculation of Cathode-Fall Characteristics, J. Appl. Phys. 33(9), 2789−2794 (1962)
[119] K.-U. Riemann: Theoretical analysis of the electrode sheath in rf discharges, J. Appl. Phys. 65(3),
999 − 1004 (1989)
[120] K.-U. Riemann, U. Ehlemann, K. Wiesemann: The ion energy distribution in front of a negative
wall, J. Phys. D: Appl. Phys. 25 620 − 633 (1992)
[121] A.v. Engel: ibid, p. 200 ff.
[122] J. Ingold: ibid, p. 39
[123] M.J. Druyvesteyn, F.M. Penning: ibid, p. 130
[124] A.v. Engel: ibid, p. 198 ff.
[125] G. Francis: ibid, p. 93
[126] A.v. Engel: ibid, p. 200
[127] G. Francis: ibid, p. 102
Literaturverzeichnis
57
[128] W.D. Davis, T.A. Vanderslice: Ion Energies at the Cathode of a Glow Discharge, Phys. Rev. 131,
219 − 228 (1963)
[129] P.F. Little, A.v. Engel: The Hollow-Cathode Effect and the Theory of Glow Discharges, Proc.
Roy. Soc. (London) A224, 209 − 227 (1954)
[130] O. Scherzer: Theorie der Glimmentladung, Arch. Elektrotechn. 33(4), 207 − 228 (1939)
[131] M.J. Druyvesteyn, F.M. Penning: ibid, p. 137
[132] G. Francis: ibid, p. 111
[133] G.D. Morgan: Origin of Striations in Discharges, Nature (London, England) 172(9), 542 (1953)
[134] B. Chapman: Glow Discharges Processes: Sputtering and Plasma Etching, John Wiley & Sons,
New York, N.Y., U.S.A., 1980, p. 116 f.
[135] A.v. Engel: ibid, p. 52
[136] H.F. Winters, J.W. Coburn, E. Kay: Plasma Etching—a “Pseudo-Black-Box” Approach, J. Appl.
Phys. 48(12), 4973 − 4983 (1977)
[137] E.H. Hirsch: Plasma Probes and the Langmuir Paradox, Int. J. Electron. 19, 537 − 548 (1965)
[138] G.F. Weston: ibid, p. 172
[139] F.C. Andrews: Equlibrium Statistical Mechanics, Wiley-Interscience, New York, 2nd edn., 1975,
p. 150
[140] G.K. Wehner, G.S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Handbook of Thin
Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, N.Y., U.S.A., 1970,
p. 11
[141] G. Francis: ibid, pp. 148 − 153
[142] G. Francis: ibid, p. 146
[143] J. Ingold: ibid, p. 62 f.
[144] G. Francis: ibid, p. 112
[145] G. Francis: ibid, p. 147
[146] Z. Wang, S.A. Cohen: Hollow Cathode Magnetron, J. Vac. Sci. Technol. A17(1), 77 − 82 (1999)
[147] G. Leonhardt, R. Wilberg: Anwendung der Hohlkatodenplasmaquelle in der Vakuumtechnik, Vakuum i. Forschung und Praxis 7(1), 17 − 27 (1995)
[148] A.v. Engel: ibid, p. 210
[149] de la Rue und Müller, cited in G. Francis: The Glow Discharge at Low Pressures, in Handbuch
der Physik, edited by Flügge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, p. 70
[150] M. Steenbeck: Ähnlichkeitsgesetze für Gasentladungen und ihr Gültigkeitsbereich, Wissenschaftl.
Veröff. Siemens Werke 11, 36 − 51 (1932)
[151] M.J. Druyvesteyn, F.M. Penning: The Mechanism of Electrical Discharges in Gases of Low Pressures, Rev. Mod. Phys. 12, p. 132
[152] G. Francis: ibid, p. 81 ff.
[153] S.C. Brown: ibid, Wiley, New York, 1966, p. 44
[154] G.S. Anderson, W.N. Mayer, G.K. Wehner: Sputtering of Dielectrics by High-Frequency Fields,
J. Appl. Phys. 33(10), 2991 − 2992 (1962)
[155] R.A. Morgan: Plasma Etching in Semiconductor Fabrication, Elsevier, Amsterdam/Oxford/New
York/Tokyo, 1985, p. 129
[156] H.R. Koenig, L.J. Maissel: Application of RF Discharges to Sputtering, IBM J. Res. Develop. 14,
168 − 171 (1970)
[157] H. Norstrøm: Langmuir Probe Studies of the Glow Discharge in an RF Sputtering System at
Various Frequencies, Vacuum 29(11/12), 443 − 445 (1970)
[158] D.L. Flamm: Frequency effects in plasma etching, J. Vac. Sci. Technol. A 4(3), 729 − 738 (1986)
[159] M.R. Wertheimer, M. Moisan: Comparison of Microwave and Lower Frequency Plasmas for Thin
Film Deposition and Etching, J. Vac. Sci. Technol. A 3(6), 2643 − 2649 (1985)
[160] M. Moisan, C. Barbeau, R. Claude, C.M. Ferreira, J. Margot, J. Paraszczak, A.B. Sá, G. Sauvé,
M.R. Wertheimer: Radio frequency or microwave plasma reactors? Factors determining the optimum frequency of operation, J. Vac. Sci. Technol. B 9(1), 8 − 25, (1991)
[161] M. Surendra, D.B. Graves: Capacitively coupled glow discharges at frequencies above 13.56 MHz,
Appl. Phys. Lett. 59(17), 2091 − 2093 (1991)
[162] J.D. Jackson: ibid, p. 69 ff.
58
Literaturverzeichnis
[163] G.L. Rogoff: Ambipolar Diffusion Coefficients for Discharges in Attaching Gases, J. Phys. D 18,
1533 − 1545 (1985)
[164] S.C. Brown: Introduction to Electrical Discharges in Gases,
Wiley, New York, N.Y., U.S.A., 1966, p. 30
[165] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S. Flügge, Vol. 21,
Gasentladungen I, Teil 1, Springer-Verlag, Berlin, 1956, p. 392
[166] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuch der Physik, edited by S. Flügge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 534
[167] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y., U.S.A., 1966,
p. 102
[168] M.A. Herlin, S.C. Brown: Breakdown of a Gas at Microwave Frequencies, Phys. Rev. 74(3),
291 − 296 (1948)
[169] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuch der Physik, edited by S. Flügge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 534 ff.
[170] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y., U.S.A., 1966,
p. 172
[171] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuch der Physik, edited by S. Flügge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 538
[172] E.W. McDaniel: ibid, p. 121
[173] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y., U.S.A., 1966,
p. 21
[174] FCC Rules & Regulations, Part 18, Industrial, Scientific & Materials Equipment, Jan. 1964
[175] S.M. Levitskii: Space Potential and Electron Sputtering in a High-Frequency Discharge, Sov.
Phys. — Techn. Phys. 27, 913 − 920 (1957)
[176] A.T. Bell: ibid, Appendix A.2, p. 398
[177] J. Engemann, F. Werner: Apparatus Including Ring-Shaped Resonators for Producing Microwave
Plasmas, U.S. Patent # 5,517,085 (1993)
[178] C.M. Ferreira, M. Moisan, Z. Zakrzewski: Physical Principles of Microwave Plasma Generation,
Chap. 2 in Microwave Excited Plasmas, ed. by M. Moisan and J. Pelletier, Elsevier Science,
Amsterdam (1992)
[179] J.L. Vossen, J.J. O‘Neill, jr.: RF-Sputtering Processes, RCA Review 29, 149 − 179 (1968)
[180] Chr. Gerthsen, H. Vogel: Physik, Springer-Verlag, Berlin/Heidelberg, 17th edn., 1993, p. 357
[181] N.N.: Manual for the AZX tuner, Advanced Energy Industries, Inc., Ft. Collins, Colo., 1992
[182] Chr. Gerthsen, H. Vogel: ibid, p. 396
[183] A. Garscadden, K.G. Emeleus: Notes on the Effect of Noise on Langmuir Probe Characteristics,
Proc. Roy. Soc. (London, England) 79, 535 − 541 (1962)
[184] C.B. Zarowin: Plasma Etch Anisotropy — Theory and some Verifying Experiments Relating Ion
Transport, Ion Energy, and Transport Properties, J. Electrochem. Soc. 130(5), 1144 − 1152
(1983)
[185] A.J.v. Roosmalen, W.G.M.v.d. Hoek, H. Kalter: Electrical Properties of Planar RF Discharges
for Dry Etching, J. Appl. Phys. 58(2), 653 − 658 (1985)
[186] J.D. Swift, M.J.R. Schwar: Electrical Probes for Plasma Diagnostics, ILIFFE Books, London,
England, 1970, pp. 94 − 97
[187] G.R. Misium, A.J. Lichtenberg, M.A. Lieberman: Macroscopic modeling of radio-frequency plasma discharges, J. Vac. Sci. Technol. A 7(3), 1007 − 1013) (1989)
[188] W. Kasper, H. Böhm, B. Hirschauer: The Influence of Electrode Areas on Radio Frequency Glow
Discharges, J. Appl. Phys. 71(9), 4168 − 4172 (1992)
[189] B.E. Thompson, K.D. Allen, A.D. Richards, H.H. Sawin: Ion Bombardement Energies in RadioFrequency Glow Discharge Systems, J. Appl. Phys. 59(6), 1890 − 1903 (1986)
[190] V.A. Godyak, N. Sternberg: Dynamic Model of the Electrode Sheaths in Symetrically Driven RF
Discharges, Phys. Rev. A 42(4), 2299 − 2312 (1990)
[191] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, Chapter 3, pp. 28 − 56
Literaturverzeichnis
59
[192] M.A. Lieberman: Analytical Solution for Capacitive RF Sheath, IEEE Trans. Plasma Sci. PS16(6), 638 − 644 (1988)
[193] M. Klick: Resistive Model of the RF Discharge Including Additional DC Currents and Electrodes,
Phys. Rev. E 47(1), 591 − 603 (1993)
[194] M. Klick: The Nonlinearity of the Radio-Frequency Sheath, J. Appl. Phys. 79(5), 1 − 8 (1996)
[195] K. Köhler, J.W. Coburn, D.E. Horne, E. Kay, J.H. Keller: Plasma Potentials of 13.56 MHz RF
Argon Glow Discharges in a Planar System, J. Appl. Phys. 57(1), 59 − 66 (1985)
[196] J.W. Coburn, E. Kay: Positive-Ion Bombardement of Substrates in RF Diode Glow Discharge
Sputtering, J. Appl. Phys. 43, 4965 − 4971 (1972)
[197] J.H. Keller, W.B. Pennebaker: Electrical Properties of RF Sputtering Systems, IBM J. Res.
Develop. 23(1), 3 − 15 (1979)
[198] J.S. Logan, N.M. Mozza, P.D. Davidse: Electrical charakterization of radio-frequency sputtering
gas discharge, J. Vac. Sci. Technol. 6(1), 120 − 123 (1969)
[199] C.M. Horwitz: RF Sputtering-voltage division between two electrodes, J. Vac. Sci. Technol. A
1(1), 60 − 68 (1983)
[200] B. Chapman: ibid, p. 150
[201] W.B. Pennebaker: Influence of Scattering and Ionization on RF Impedance in Glow Discharge
Sheaths, IBM J. Res. Develop. 23(1) 16 − 23 (1979)
[202] M. Klick: private communication, Dresden 2000
[203] G. Franz, M. Klick: Electron Heating in Capacitively Coupled Discharges and Reactive Gases, J.
Vac. Sci. Technol. A 23, 917 (2005)
[204] C.G. Goedde, A.J. Lichtenberg, M.A. Lieberman: Self-consistent stochastic electron heating in
radio frequency discharges, J. Appl. Phys. 64(9), 4375 − 4383 (1988)
[205] O.A. Popov, V.A. Godyak: Power Dissipated in Low-Pressure Radio-Frequency Discharge Plasmas, J. Appl. Phys. 57(1), 53 − 58 (1985)
[206] V.A. Godyak: Steady-State Low Pressure RF Discharge, Sov. J. Plasma Phys. 2(1), 78 − 84
(1976)
[207] G. Gozadinos, D. Vender, M.M. Turner, M.A. Lieberman: Collisionless electron heating by capacitive radio-frequency plasma sheaths, Plasma Sources Sci. Technol. 10(1), 21871 − 21874
(2001)
[208] E. Fermi: On the origin of the cosmic radiation, Phys. Rev. 75, 1073 − 1076 (1949)
[209] V.A. Godyak: Statistical heating of electrons at an oscillating plasma boundary, Sov. Phys.—
Tech. Phys. 16, 1073 − 1076 (1972)
[210] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, pp. 73 f.
[211] G. Gozadinos, M.M. Turner, D. Vender: Collisionless electron heating by capacitive rf sheaths,
Phys. Rev. Lett. 87(13), 135004-1 − 135004-4 (2001)
[212] B.P. Wood, M.A. Lieberman, A.J. Lichtenberg: Stochastic Electron Heating in a Capacitive
RF Discharge with Non-Maxwellian and Time-Varying Distributions, IEEE Trans. Plasma Sci.
PS-23(1), 89 − 96 (1995)
[213] K.B. Person: Inertia-Controlled Ambipolar Diffusion, Phys. Fluids 5, 1625 − 1632 (1962)
[214] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, Chapter 3, pp. 79 − 102
[215] M. A. Lieberman, A.J. Lichtenberg: Principles of Plasma Discharges and Materials Processing,
2nd edn., J. Wiley & Sons, Hoboken, N. J., 2005: Secs. 5.3 + Appendix C
[216] A. Salabas, L. Marques, J. Jolly, G. Gousset, L.L. Alves: Systematic characterization of lowpressure capacitively coupled hydrogen discharges, J. Appl. Phys. 95(9), 4605 − 4620 (2004)
[217] P.C. Boyle, A.R. Ellingboe, M.M. Turner: Independent control of ion current and ion impact
energy onto electrodes in dual frequency plasma devices, J. Phys. D: Appl. Phys. 37(4), 697−701
(2004)
[218] P.C. Boyle, A.R. Ellingboe, M.M. Turner: Electrostatic modelling of dual frequency rf plasma
discharges, Plasma Sources Sci. Technol. 13(4), 493 − 503 (2004)
[219] V.A. Godyak, R.B. Piejak: Abnormally Low Electron Energy and Heating-Mode Transition in a
Low-Pressure Argon rf Discharge at 13.56 MHz, Phys. Rev. Lett. 65(8), 996 − 999 (1990)
60
Literaturverzeichnis
[220] E. Abdel-Fattah, H. Sugai: Electron heating mode transition observed in a very high frequency
capacitive discharge, Appl. Phys. Lett. 83(8), 1533 − 1535 (2003)
[221] M.J. Kushner: Distribution of Ion Energies Incident on Electrodes in Capacitively Coupled RF
Discharges, J. Appl. Phys. 58(11), 4024 − 4031 (1985)
[222] M.J. Kushner: Mechanisms for Power Deposition in Ar/SiH4 Capacitively Coupled RF Discharges,
IEEE Trans. Plasma Sci. PS-14(2), 188 − 196 (1986)
[223] R.J. Seeböck, W.E. Köhler, F. Rebentrost: Time Dependent Diagnostics and Modelling of an
Argon Plasma Excited at 13.6 MHz, in Proceedings 9th Intern. Sympos. Plasma Chemistry,
Pugnochiuso, Italien, 1989, Vol. I, ed. by R. d’Agostino, 493 − 498
[224] D.J. Economou, D.R. Evans, R.C. Alkire: A Time-Average Model of the RF Plasma Sheath, J.
Electrochem. Soc. 135(3), 756 − 763 (1988)
[225] D.J. Economou: private communication, 1991
[226] Y. Sakaki, I. Katsumata: An Energy Resolution Formula of a Three Plane Grids Retarding Field
Energy Analyzer, Jpn. J. Appl. Phys. 24, 337 (1985)
[227] J.R. Woodworth, M.E. Riley, D.C. Meister, B.P. Aragon, M.S. Lee, H.H. Sawin: Ion energy and
angular distribution in inductively coupled radio frequency discharges in argon, J. Appl. Phys.
80, 1996 (1996)
[228] J.R. Woodworth, M.E. Riley, P.A. Miller, G.A. Hebner: Ion energy and angular distribution in
inductively coupled radio frequency discharges in chlorine, J. Appl. Phys. 81, 1997 (1997)
[229] A. Ranjan, C. Helmbrecht, V.M. Donnelly, D.J. Economou, G. Franz: Effect of surface roughness
of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from
a neutral beam source, J. Vac. Sci. Technol. B 25, 258 (2007)
[230] K.P. Brandt, H. Jungblut: The Interaction Potential of SF6 Ions in SF6 Parent Gas Determined
from Mobility Data, J. Chem. Phys. 78(4), 1999 − 2007 (1983)
[231] J.A. Mucha, D.W. Hess: Plasma Etching, Chapter 5 in Introduction to Microlithography: Theory,
Materials, and Processing, ed. by L.F. Thompson and C.G. Wilson, 1983, p. 272
[232] S.G. Ingram, N.S.J. Braithwaite: Ion and Electron Energy Analysis at a Surface in an RF Discharge, J. Phys. D: Appl. Phys. 21, 1496 − 1503 (1988)
[233] P. Benoit-Cattin, L.-C. Bernard: Anomalies of the Energy of Positive Ions Extracted from HighEnergy Ion Sources. A Theoretical Study, J. Appl. Phys. 39(12), 5723 − 5726 (1968)
[234] V.M. Donnelly, D.L. Flamm, R.H. Bruce: Effects of Frequency on Optical Emission, Electrical,
Ion, and Etching Characteristics of a Radio Frequency Chlorine Plasma, J. Appl. Phys. 58(6),
2135 − 2144 (1985)
[235] I.W. Rangelow: private communication, Kassel, Hesse, 1993
[236] M. Kratzer, R.P. Brinkmann, W. Sabisch, H. Schmidt: Hyrid model for the calculation of ion
distribution functions behind a direct current or radio frequency driven plasma boundary sheath,
J. Appl. Phys. 90(5), 2169 − 2179 (2001)
[237] W. Sabisch, M. Kratzer, R.P. Brinkmann: Energetic neutral fluxes towards surfaces in a MERIE
like reactor, AVS 49th International Symposium, Denver 2002, PS-MoM8
[238] J. Liu, G.L. Huppert, H.H. Sawin: Ion Bombardement in RF Plasmas, J. Appl. Phys. 68(8),
3916 − 3934 (1990)
[239] F. Becker, I.W. Rangelow, R. Kassing: Ion energy distributions in SF6 plasmas at a radio-frequency
powered electrode, J. Appl. Phys. 80(1), 56 − 65 (1996)
[240] C. Wild, P. Koidl: Ion and electron dynamics in the sheath of radio-frequency glow discharges,
J. Appl. Phys. 69(5), 2909 − 2922 (1991)
[241] S. Biehler, in Proceedings of the 10th International Symposium on Plasma Chemistry, ed. by
U. Ehlemann, H.G. Lergon und K. Wiesemann (ISPC 1991), Bochum, Aug. 1991, Vol. 2, pp.
21 − 52
[242] D.B. Graves, K.F. Jensen: A Continuum Model of DC and RF Discharges, IEEE Transact. Plasma
Sci. PS-14(2), 78 − 91 (1986)
[243] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, p. 61
[244] J. Shin, G. Han, Y. Ma, K. Moloni, F. Cerrina: Resist line edge roughness and aerial image
contrast, J. Vac. Sci. Technol. B 19(6), 2890 − 2895 (2001)
Literaturverzeichnis
61
[245] J.A. Croon, G. Storms, S. Winkelmeier, I. Pollentier, M. Ercken, S. Decoutere, W. Sansen, H.E.
Maes: Line Edge Roughness: Characterization, Modeling and Impact on Device Behavior, Electron
Devices Meeting 2002, IEDM ’02, International Digest 307 − 310 (2002)
[246] K.P. Müller, F. Heinrich, H. Mader: Magnetically Enhanced Reactive Ion Etching (MERIE) with
Different Field Configurations, Microelectron. Eng. 10, 55 − 67 (1989)
[247] J.R. Woodworth, M.E. Riley, P.A. Miller, C.A. Nichols, T.W. Hamilton: Ion distribution functions
in inductively coupled radio frequency discharges in argon-chlorine mixtures, J. Vac. Sci. Technol.
A 15(6), 3015 − 3023 (1997)
[248] E.C. Benck, A. Schwabedissen, A. Gates, J.R. Roberts: Investigations in the sheath region of a
radio frequency biased inductively coupled discharge, J. Vac. Sci. Technol. A 16(1), 306 − 315
(1998)
[249] R.A. Stewart, P. Vitello, D.B. Graves, E.F. Jaeger, L.A. Berry: Plasma Uniformity in High-Density
Inductively Coupled Plasma Tools, Plasma Sources Sci. Technol. 4, 36 − 46 (1995)
[250] J. Hopwood, C.R. Guarnieri, S.J. Whitehair, J.J. Cuomo: Langmuir probe measurements of a
radio frequency induction plasma, J. Vac. Sci. Technol. A 11(1), 152 − 156 (1993)
[251] Chr. Gerthsen, H. Vogel: ibid, p. 387 ff.
[252] F. Kracmar: Allgemeine Hochfrequenztechnik, Universum Verlagsgesellschaft Wien, 1947
[253] A. Fridman, L.A. Kennedy: Plasma Physics and Engineering, Taylor & Francis, New York and
London, 2004, Sect. 10.7, Eqs. (10.135) − (10.154), pp. 671 − 677
[254] J. Hopwood, C.R. Guarnieri, S.J. Whitehair, and J.J. Cuomo: Electromagnetic fields in a radiofrequency induction plasma, J. Vac. Sci. Technol. A 11(1), 147 − 151 (1993)
[255] N.A. Krall and A.W. Trivelpiece: Principles of Plasma Physics, McGraw-Hill, New York, 1973, p.
48
[256] M. Edamura, E.C. Benck: Transition from E to H Mode Discharge in Pulse-Modulated Inductively
Coupled Plasmas, J. Vac. Sci. Technol. A 21(2), 470 − 475 (2003)
[257] T. Panagopoulos, D. Kim, V. Midha, D.J. Economou: Three-Dimensional Simulation of an Inductively Coupled Plasma Reactor, J. Appl. Phys. 91(5), 2687 − 2696 (2002)
[258] P. Aigrain: Les “Helicons” dans le Semiconducteurs, Proc. Conf. Semicond. Phys. 15, 224 − 226
(1960)
[259] H. Barkhausen: Zwei mit Hilfe der neuen Verstärker entdeckte Erscheinungen, Phys. Z. 20,
401 − 403 (1919)
[260] D.A. Gurnett, R.L. Huff, J.S. Pickett, A.M. Persoon, R.L. Mutel, I.W. Christopher, C.A. Kletzing,
U.S. Inan, W.L. Martin, J.-L. Bougeret, H.St.C. Alleyne, K.H. Yearby: First results from the
Cluster wideband plasma wave investigation, Ann. Geophysicae 19, 1259 − 1272 (2001)
[261] S.P. McGreevy: More facts about VLF natural radio, www.auroralchorus.com
[262] T.A. Stix: Theory of Plasma Waves, McGraw Hill, New York, 1962, p. 91
[263] O.A. Popov: Effects of magnetic field and microwave power on electron cyclotron resonance-type
plasma characteristics, J. Vac. Sci. Technol. 9(3), 711 − 716 (1991)
[264] F.F. Chen, D.D. Blackwell: Upper Limit to Landau Damping in Helicon Discharges, Phys. Rev.
Lett. 82(13), 2677 − 2680 (1999)
[265] M. Light, I.D. Sudit, F.F. Chen, D. Arnush: Axial propagation of helicon waves, Phys. Plasmas
2, 4094 − 4103 (1995)
[266] B. Davies, P.J. Christiansen: Helicon Waves in a Gaseous Plasma, Plasma Phys. 11, 987 − 1000
(1969)
[267] B. Davies: Helicon Wave Propagation: Effect of Electron Inertia, J. Plasma Phys. 4(1), 43 − 50
(1970)
[268] T.A. Stix: ibid, Chapter 5
[269] J.P. Klozenberg, B. McNamara, P.C. Thonemann: The Dispersion and Attenuation of Helicon
Waves in a Uniform Cylindrical Plasma, J. Fluid Mech. 21(3), 545 − 563 (1965)
[270] F.F. Chen: Experiments on helicon plasma sources, J. Vac. Sci. Technol. A 10(4), 1389 − 1401
(1992)
[271] R.W. Boswell: Very Efficient Plasma Generation by Whistler Waves Near the Lower Hybrid
Frequency, Plasma Phys. 26(10), 1147 − 1162 (1984)
62
Literaturverzeichnis
[272] R.L. Kinder, M.J. Kushner: Wave propagation and power deposition in magnetically enhanced
inductively coupled and helicon plasma sources, J. Vac. Sci. Technol. A 19(1), 76 − 86 (2001)
[273] R.W. Boswell: Plasma Production Using a Standing Helicon Wave, Phys. Lett. 33 A(7), 457−458
(1970)
[274] F.F. Chen: private communication, 1990
[275] R. Boswell, R. Porteous, A. Proytz, A. Bouchoule, P. Ranson: Some Features of RF Excited Fully
Ionized Low Pressure Argon Plasma, Phys. Lett. 91A(4), 163 − 166 (1982)
[276] M. Light, F.F. Chen: Helicon wave excitation with helical antennas, Phys. Plasmas 2(4), 1084 −
1093 (1995)
[277] A.J. Perry, D. Vender, R.W. Boswell: The application of the helicon source to plasma processing,
J. Vac. Sci. Technol. B 9(2), 310 − 317 (1991)
[278] R.W. Boswell, A.J. Perry, M. Enami: Fast Etching of Silicon in a Plasma Reactor with RIPE
Source, Le Vide, Couch. Minces, Suppl. No. 246, 160 − 162 (1989)
[279] J.M. Cook, D.E. Ibbotson, P.D. Foo, D.L. Flamm: Etching results and comparison of low pressure
electron cyclotron resonance and radio frequency discharge sources, J. Vac. Sci. Technol. A 8(3),
1820 − 1824 (1990)
[280] D. Henry, A. Moore, A. Durandet, R. Boswell: Resonant Inductive Plasma Etching (RIPE):
Evaluation of an Industrial Prototype, Le Vide, Couch. Minces, Suppl. No. 246, 299 − 301 (1989)
[281] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press, Cambridge,
Mass., U.S.A., 1963, p. 19 ff.
[282] A. Aanesland and Å. Fredriksen: Pressure dependent mode transition in an electron cyclotron
resonance plasma discharge, J. Vac. Sci. Technol. A 19(5), 2446 − 2452 (2001)
[283] B. Lax, W.P. Allis, S.C. Brown: The Effect of Magnetic Field on the Breakdown of Gases at
Microwave Frequencies, J. Appl. Phys. 21, 1297 − 1304 (1950)
[284] J. Asmussen: Electron cyclotron resonance microwave discharges for etching and thin-film deposition, J. Vac. Sci. Technol. A 7(3), 883 − 893 (1989)
[285] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y., U.S.A., 1966,
p. 182
[286] J. Forster, W. Holber: Plasma characterization for a divergent field electron cyclotron resonance
source, J. Vac. Sci. Technol. A 7(3), 899 − 902 (1989)
[287] C.A. Outten, J.C. Barbour, W.R. Wampler: Characterization of electron cyclotron resonance
hydrogen plasmas, J. Vac. Sci. Technol. A 9(3), 717 − 721 (1991)
[288] R.L. Kinder, M.J. Kushner: Consequences of mode structure on plasma properties in electron
cyclotron resonance sources, J. Vac. Sci. Technol. A 17(5), 2421 − 2430 (1999)
[289] O.A. Popov: Characteristics of electron cyclotron resonance plasma sources, J. Vac. Sci. Technol.
A 7(3), 894 − 899 (1989)
[290] J.E. Stevens, J.L. Cecchi, Y.C. Huang, R.L. Jarecki, jr.: Optimized microwave coupling in an
electron cyclotron resonance etch tool, J. Vac. Sci. Technol. A 9(3), 696 − 701 (1991)
[291] F. Jaeger, A.J. Lichtenberg, M.A. Lieberman: Theory of Electron Cyclotron Resonance Heating
— I. Short Time and Adiabatic Effects, Plasma Phys. 14, 1073 − 1100 (1972)
[292] J.D. Jackson: ibid, eq. 8.80, p. 255
[293] J.D. Jackson: ibid, eq. 8.76, p. 254
[294] M. Dobler: Polykristalline Halbleiter und Niedertemperatur-Dielektrika zur Herstellung von Dünnschichttransistoren für flache Flüssigkristall-Bildschirme, PhD thesis, Univ. Stuttgart, 1994
[295] S.M. Gorbatkin, L.A. Berry, J.B. Roberto: Behavior of Ar plasmas formed in a mirror field electron
cyclotron resonance microwave ion source, J. Vac. Sci. Technol. A 8(6), 2893 − 2899 (1990)
[296] A. Ghanbari, M.S. Ameen, R.S. Heinrich: Characterization of a large volume electron cylotron
resonance plasma for etching and deposition of materials, J. Vac. Sci. Technol. A 10(4), 1276 −
1280 (1992)
[297] K.K. Ko, S.W. Pang, M. Dahimene: Relating electric field distribution of an electron cyclotron
resonance cavity to dry etching characteristics, J. Vac. Sci. Technol. A 14(4), 2020−2025 (1996)
[298] J.D. Jackson: ibid, p. 254 f.
[299] M.A. Heald, C.B. Wharton: Plasma Diagnostics with Microwaves, John Wiley & Sons, Inc., New
York/London/Sydney, 1965, p. 326
Literaturverzeichnis
63
[300] E. Ghanbari, I. Trigor, T. Nguyen: A broad beam electron cyclotron resonance ion source for
sputtering etching and deposition of material, J. Vac. Sci. Technol. A 7(3), 918 − 924 (1989)
[301] W. Möller: Plasma and Surface Modeling of the Deposition of Hydrogenated Carbon Films from
Low-Pressure Methane Plasmas, Appl. Phys. A 56, 527 − 546 (1993)
[302] A.M. Marakhtanov, M. Tuszewski, M.A. Lieberman, A.J. Lichtenberg, P. Chabert: Stable and
instable behavior of inductively coupled electronegative discharges, J. Vac. Sci. Technol. A 21(6),
1849 − 1864 (2003)
[303] H.R. Kaufman, P.D. Reader: Experimental Performance of Ion Rockets Employing Electron Bombardement Sources, Am. Rocket Soc. Paper No. 1374-60, 3 − 20 (1960)
[304] H.R. Kaufman: An Ion Rocket with an Electron-Bombardement Ion Source, NASA Techn. Note
TN D-585, 1 − 39, Jan. 1961
[305] L.D. Bollinger: Ion Milling for Semiconductor Production Processes, Solid State Technol. 20(11),
66 − 70 (1977)
[306] D. Bollinger, R. Fink: A New Production Technique: Ion Milling, Solid State Technol. 23(11),
79 − 84 (1980)
[307] H.R. Kaufman: Technology of Electron-Bombardement Ion Thrusters, in Advances in Electronics
and Electron Physics, Vol. 36, Academic Press, New York/San Francisco/London, 1974, 265−373
[308] R. Lossy, J. Engemann: RF broad-beam ion source for reactive sputtering, Vacuum 36, 973
(1986)
[309] T.W. Jolly, P. Blackborrow: Microwave ion beam sources for reactive etching and sputter deposition applications, Rev. Sci. Instrum. 61, 297 (1990)
[310] Y. Jongen, C.M. Lyneis: in The Physics and Technology of Ion Sources, ed. by J.C. Brown, John
Wiley & Sons, New York, N.Y., 1989
[311] B. Wolf: Handbook of Ion Sources, CRC Press, Boca Raton, Fla., 1995
[312] H.W. Loeb, J. Freisinger, K. Groh, W. Pinks, H.G. Bischoff, P. Schaefer, R. Schmiele: Recent
Tests of the RIT 10-Engine at Giessen University, AIAA-Paper 76-1037 (American Institute of
Aeronautics and Astronautics), Key Biscayne, Fla., U.S.A. (1976)
[313] G. Aston, H.R. Kaufman: Ion Beam Divergence Characteristics of Three-Grid Accelerator Systems, AIAA-Paper 78-669, San Diego, Calif., U.S.A. (1978)
[314] T. Jolly: Characterization of Ion Sources, Chap. 2/sec. 14 in Handbook of Ion Sources, ed. by
B. Wolf, CRC Press, Boca Raton, Fla., 1995
[315] H.R. Kaufman: Technology of Beam Sources Used in Sputtering, J. Vac. Sci. Technol. 15(2),
272 − 276 (1978)
[316] H.R. Kaufman, R.S. Robinson: Ion Source Design for Industrial Application, AIAA-J. 20, 745−760
(1982)
[317] H.R. Kaufman, J.J. Cuomo, J.M.E. Harper: Technology and applications of broad-beam ion
sources used in sputtering. Part I. Ion source technology, J. Vac. Sci. Technol. 21(3), 725 − 736
(1982)
[318] G. Aston, H.R. Kaufman, P.J. Wilburn: Ion Beam Divergence Characteristics of Two-Grid Accelerator Systems, AIAA J. 1(5), 516 − 524 (1978)
[319] J.M.E. Harper, J.J. Cuomo, P.A. Leary, G.M. Summa, H.R. Kaufman, F.J. Bresnock: Low Energy
Ion Beam Etching, J. Electrochem. Soc. 128(5), 1077 − 1083 (1981)
[320] H.R. Kaufman: Accelerator-System Solutions for Broad-Beam Ion Sources, AIAA J. 15(7), 1025−
1034 (1977)
[321] A. Hayes: private communication, Plainview, N.Y., 1998
[322] D. Korzec: Kapazitiv gekoppelte Hochfrequenz-IOnenquelle mit raialer Anordnung der Anregungselektroden für reaktives Ionenstrahlätzen, VDI-Verlag, Reihe 9: Elektronik, Nr. 160, Düsseldorf 1993, p. 82
[323] E.K.Wahlin, M. Watanabe, J. Shimonek, D. Burtner, D. Siegfried: Enhancement of collimated
low-energy broad-beam ion source with four-grid accelerator system, Appl. Phys. Lett. 83(23),
4722 − 4724 (2003)
[324] V. Kanarov, A. Hayes, R. Yevtukhov, I. Kameyama, D. Siegfried, E. Wahlin: Investigation of
a rf inductively coupled plasma ion source capable of highly uniform and collimated ion-beam
generation, Rev. Scient. Instr. 77, 03B515-1 − 03B515-5 (2006)
64
Literaturverzeichnis
[325] H. Westermeier: private communication, Munich, Bavaria, 1989
[326] H.R. Kaufman, R.S. Robinson, W.E. Hughes: Characteristics, Capabilities, and Applications of
Broad-Beam Sources, Commonwealth Scientific Corporation, 500 Pendleton St., Alexandria, Va.,
p. 28
[327] B. Wolf: Handbook of Ion Sources, CRC Press, Boca Raton, Fla., 1995, p. 233
[328] H.R. Kaufman: Broad-beam ion sources: Present status and future directions, J. Vac. Sci. Technol. A 4(3), 764 − 771 (1986)
[329] J. Daleiden: Trockenätzverfahren für die Herstellung von monolithisch integrierten optoelektronischen Schaltkreisen, PhD thesis, RWTH Aachen, Germany, 1997
[330] H.M. Mott-Smith, I. Langmuir: The Theory of Collectors in Gaseous Discharges, Phys. Rev. 28,
727 − 763 (1926)
[331] A. Amarotico: VCSEL-Trockenätzen in chlorhaltigen Plasmen, master thesis, University of Applied
Sciences, Munich, Bavaria, 2002
[332] F. Gaborian, M.-C. Peignon, G. Cartry, L. Rolland, D. Eon, C. Cardinand, G. Turban: Langmuir
probe measurements in an inductively coupled plasma: Electron energy distribution functions in
polymerizing fluorocarbon gases used for selective etching of SiO2 , J. Vac. Sci. Technol. A 20(3),
919 − 927 (2002)
[333] C.A. Moore, G.P. Davis, R.A. Gottscho: Sensitive, Non-Intrusive, In-Situ Measurement of Temporally and Spatially Resolved Plasma Electric Fields, Phys. Rev. Lett. 52(7), 538 − 541 (1984)
[334] C.B. Zarowin: Relation between the rf discharge parameters and plasma etch rates, selectivity
and anisotropy, J. Vac. Sci. Technol. A2(4), 1537 − 1549 (1984)
[335] U. Czarnetzki, D. Luggenhölscher, H.F. Döbele: Space and Time Resolved Electric Field Measurements in Helium and Hydrogen RF-Discharges, Plasma Sources Sci. Technol. 8, 230 − 248
(1999)
[336] M. Ali Kettani, M.F. Hoyaux: Plasma Engineering, Butterworth, London, England, 1973, p. 164
f.
[337] M.J. Kushner: A kinetic study of the plasma-etching process: II: Probe measurements of electron
properties in an rf plasma-etching reactor, J. Appl. Phys. 53(4), 2939 − 2946 (1982)
[338] R.L.F. Boyd: The Collection of Positive Ions by a Probe in an Electrical Discharge,
Proc. Roy. Soc. (London) 201, 329 − 347 (1950)
[339] M.J. Druyvesteyn, F.M. Penning: ibid, 87 − 174 (1940)
[340] J.D. Swift, M.J.R. Schwar: ibid, p. 2 ff.
[341] W. Möhl: Plasmadiagnostische Untersuchungen mit Doppel- und Dreifachsonden an einem Labormodell des Ionentriebwerkes RIT 10, master thesis, Liebig-Universität, Gießen, Hesse, 1975
[342] J.D. Swift, M.J.R. Schwar: ibid, p. 137 ff.
[343] A. Boschi, F. Magistrelli: Effect of R.F. signal on the characteristic of a Langmuir probe, Nuovo
Cimento 29(2), 487 − 499 (1963)
[344] R. Winkler, H. Deutsch, J. Wilhelm, C. Wilke: Electron Kinetics of Weakly Ionized HF Plasmas
I. Direct Treatment and Fourier Expansion, Beitr. Plasmaphysik 24(3), 285 − 302 (1984)
[345] R. Winkler, J. Wilhelm, A. Hess: Main Feautures of Electron Kinetics in Collision Dominated
Steady State RF Plasma, Ann. Phys. (Leipzig), 7. Ser. 24(4−6), 537 − 558 (1985)
[346] V.A. Godyak, R.B. Piejak, B.M. Alexandrovich: Measurements of electron energy distribution in
low-pressure RF discharges, Plasma Sources Sci. Technol. 1(1), 36 − 58 (1992)
[347] U. Flender, B.H. Nguyen Thi, K. Wiesemann, N.A. Khromov, N.B. Kolokolov: RF harmonic
suppression in Langmuir probe measurements in RF discharges, Plasma Sources Sci. Technol.
5(1), 61 − 69 (1996)
[348] N. Hershkowitz: How Langmuir Probes Work, Chapter 3 in Plasma Diagnostics, Vol. I, ed. by O.
Auciello and D. Flamm, Academic Press, Inc., New York, N.Y., p. 162 ff.
[349] A. Sommerfeld: Vorlesungen über Theoretische Physik VI: Partielle Differentialgleichungen der
Physik, 6. Auflage, Akademische Verlagsgesellschaft Geest & Portig KG, Leipzig, 1966, p. 79 f.
[350] K. Yamamoto, T. Okuda: On the Floating Probe Method for the Measurement in Ionized Gases,
J. Phys. Soc. Japan 11, 57 − 68 (1956)
[351] J.D. Swift, M.J.R. Schwar: ibid, p. 151 f.
Literaturverzeichnis
65
[352] B.P. Wood, M.A. Lieberman, A.J. Lichtenberg: Sheath Motion in a Capacitively Coupled Radio
Frequency Discharge, IEEE Trans. Plasma Sci. PS-19(4), 619 − 627 (1991)
[353] D. Vender, R.W. Boswell: Numerical Modelling of Low-Pressure RF Plasmas, IEEE Trans. Plasma
Sci. PS-18(4), 725 − 732 (1990)
[354] D.M. Manos, J.L. Cecchi, C.W. Cheah, H.F. Dylla: Diagnostics of Low Temperature Plasmas:
The Electron Component, Thin Solid Films 195, 319 − 336 (1991)
[355] J.G. Laframboise: Theory of Cylindrical and Spherical Langmuir Probe in a Collisionless Plasma
at Rest, in Rarified Gas Dynamics, ed. by J.H. Leeuw, Academic Press, New York, N.Y., U.S.A.,
S. 22 − 44
[356] M. Mausbach: Parametrization of the Laframboise theory for cylindrical Langmuir probe analysis,
J. Vac. Sci. Technol. A 15(6), 2923 − 2929 (1997)
[357] A. Karamcheti, Ch. Steinbrüchel: Parametrization of Laframboise’s results for spherical and cylindrical Langmuir probes, J. Vac. Sci. Technol. A 17(5), 3051 − 3056 (1999)
[358] J.D. Swift, M.J.R. Schwar: ibid, S. 181, p. 222
[359] J.D. Swift, M.J.R. Schwar: ibid, p. 96
[360] J.D. Swift, M.J.R. Schwar: ibid, p. 12
[361] P. Awakowicz: private communication, Munich, Bavaria, 2001
[362] J.E. Allen, R.L.F. Boyd, P. Reynolds: The Collection of Positive Ions by a Probe Immersed in a
Plasma, Proc. Roy. Soc. B 70, 297 − 304 (1957)
[363] I.B. Bernstein, I. Rabinowitz: Theory of Electrostatic Probes in a Low-Density Plasma, Phys.
Fluids 2, 112 − 121 (1959)
[364] I. Langmuir: The Effect of Space Charge and Initial Velocities on the Potential Distribution and
Thermoionic Current between Parallel Plate Electrodes, Phys. Rev. 21, 419 − 435 (1923)
[365] I. Langmuir, K.B. Blodgett: Currents Limited by Space Charge between Coaxial Cylinders, Phys.
Rev. 22, 347 − 356 (1923)
[366] J.D. Swift, M.J.R. Schwar: ibid, p. 67
[367] S.H. Lam: The Langmuir Probe in a Collisionless Plasma, Phys. Fluids 8, 73 − 87 (1965)
[368] I. Langmuir, K.T. Compton: Electrical Discharges in Gases, Part II. Fundamental Phenomena in
Electrical Discharges, Rev. Modern Phys. 3, 191 − 257 (1931)
[369] M.V. Malyshev, V.M. Donnelly, A. Kornblit, N.A. Ciampa, J.I. Colonell, J.T.C. Lee: Langmuir
probe studies of a transformer-coupled plasma, aluminum etcher, J. Vac. Sci. Technol. A 17(2),
480 − 492 (1999)
[370] M. Tuszewski, J.A. Tobin: Characterization of a low-frequency inductively coupled plasma source,
J. Vac. Sci. Technol. A 14(3), 1096 − 1101 (1996)
[371] Y.H. Lee, I.E. Heidenreich III, G. Fortuno: Plasma Characterization of an Electron Cyclotron
Resonance-Radiofrequency Hybrid Plasma Reactor, J. Vac. Sci. Technol. A 7(3), 903 − 907
(1989)
[372] W.L. Nighan: Electron Energy Distributions and Collision Rates in Electrically Excited N2 , CO
and CO2 , Phys. Rev. A 2(5), 1989 − 2000 (1970)
[373] W.E. Köhler: Electron Energy Distribution for RF Glow Discharges in HCl and CCl4 , Proc 18th
Intern. Conf. Phenomena in Ionized Gases, Swansea, ed. by W.T. Williams, 1987
[374] H.W. Rundle, D.R. Clark, J.M. Deckers: Electron Energy Distribution Functions in an O2 Glow
Discharge, Can. J. Phys. 51, 144 − 148 (1973)
[375] D. Maundrill, J. Slatter, A.J. Spiers, C.C. Welch: Electrical Measurements of RF-Generated
Plasmas Using a Driven Electrostatic Probe Technique, J. Phys. D: Appl. Phys. 20, 815 − 819
(1987)
[376] F. Schneider: Zum Mechanismus der Hochfrequenzentladung zwischen ebenen Platten, Z. Angew.
Phys. 4, 456 − 462 (1954)
[377] M. Klick: private communication, Munich, Bavaria, 2003
[378] G. Franz: Dry Etching Techniques of III/V Semiconductors: Plasma, Structures, Damage, Recent
Res. Devel. Vacuum. Sci. & Technol. 3, 221 − 261 (2001)
[379] V.A. Godyak, R.B. Piejak, B.M. Alexandrovich: Electrical Characteristics of Parallel-Plate RF
Discharges in Argon, IEEE Transact. Plasma Sci. PS-19(4), 660 − 676 (1991)
66
Literaturverzeichnis
[380] G. Franz: Critical Review: Comprehensive analysis of capacitively coupled chlorine-containing
plasmas, J. Vac. Sci. Technol. A 23(2), 369 − 387 (2005)
[381] G. Franz: Some aspects of dissipation mechanisms in chlorine-containing capacitively coupled
discharges, J. Vac. Sci. Technol. A 24(4), 1360 − 1365 (2006)
[382] B. Andries, G. Ravel, L. Peccoud: Electrical characterization of radio-frequency parallel-plate
capacitively coupled discharges, J. Vac. Sci. Technol. A 7(4), 2774 − 2783
[383] K. H. Gindra: private communication, Dresden, Saxony, 2001
[384] V.M. Donnelly: A simple optical emission method for measuring percent dissociations of feed
gases in plasmas: Application to Cl2 in a high-density helical resonator plasma, J. Vac. Sci.
Technol. A 14(3), 1076 − 1087 (1996)
[385] M.V. Malyshev, V.M. Donnelly: Trace Rare Gases Optical Emission Spectroscopy: Nonintrusive
Method for Measuring Electron Temperatures in Low-Pressure, Low-Temperature Plasmas, Phys.
Rev. E 60(5), 6016 − 6029 (1999)
[386] A.R. Striganov, N.S. Sventitskii: Tables of Spectral Lines of Neutral and Ionized Atoms,
IFI/Plenum Press, New York/Washington, 1968
[387] P. Awakowicz: Diagnostics in Reactive Plasmas with Optical Emission Spectroscopy, Probe Measurement and Energy-Mass Spectrometry, Materials Science Forum 287 - 288, 3 − 22 (1998)
[388] W.L. Wiese, M.W. Smith, S.M. Miles: Atomic Transition Probabilities, vols. I and II, U.S. National
Bureau of Standards, 1966 and 1969, Washington, DC (Nat. Std. Ref. Data Ser. 22)
[389] M.V. Malyshev, V.M. Donnelly, S.W. Downey, J.I. Colonell, N. Layadi: Diagnostic studies of aluminum etching in an inductively coupled plasma system: Determination of electron temperature
and connections to plasma-induced damage, J. Vac. Sci. Technol. A 18(3), 849 − 859 (2000)
[390] M.V. Malyshev, V.M. Donnelly: Determination of electron temperatures in plasmas by multiple
rare gas optical emission, and implications for advanced actinometry, J. Vac. Sci. Technol. A
15(3), 550 − 558 (1997)
[391] V.M. Donnelly, M.V. Malyshev, A. Kornblit, N.A. Ciampa, J.I. Colonell, J.T.C. Lee: Trace Rare
Gases Optical Emission Spectroscopy for Determination of Electron Temperatures and Species
Concentrations in Chlorine-Containing Plasmas, Jpn. J. Appl. Phys. 37(I, 4B), 2388 − 2393
(1998)
[392] J.W. Coburn, M. Chen: Optical emission spectroscopy of reactive plasmas: A method for correlating emission intensities to reactive particle density, J. Appl. Phys. 51(6), 3134 − 3136 (1980)
[393] P.V. Feltsan, I.P. Zapesochnyi: Excitation of Inert Gases During Electron-Atom Collisions. III:
Argon, Ukr. Fiz. Zh. 12(4), 586 − 592 (1967) and Ukr. Fiz. Zh. 12(4), 592 − 598 (1967) (english
cover-to-cover translation)
[394] P.V. Feltsan: Excitation of Inert Gases by Electron-Atom Collisions. IV: Krypton, Ukr. Fiz. Zh.
12(9), 1423 − 1429 (1967) Ukr. Fiz. Zh. 12(9), 1376 − 1382 (1967) (english cover-to-cover
translation)
[395] P.V. Feltsan, I.P. Zapesochnyi: Excitation of Inert Gas Atoms in Collisions with Electrons. V:
Xenon, Ukr. Phys. J. 13(3), 143 − 147 (1968)
[396] A.A. Mityureva, N.P. Penkin, V.V. Smirnov: Measurement of Cross Sections for Stepwise Excitation of Inert Gas Atoms from Metastable States by Electron Impact. Experimental Results.Argon,
Opt. Spectrosc. (USSR) 66(4), 463 − 465 (1989)
[397] C. Smytkowski, K. Maciag, G. Karwasz: Absolute Electron-Scattering Total Cross Section Measurements for Noble Gas Atoms and Diatomic Molecules, Physica Scripta 54(2), 271 − 280
(1996)
[398] T. Mehdi, P.B. Legrand, J.P. Dauchot, M. Wautelet, M. Hecq: Optical Emission Diagnostics of
an RF Magnetron Sputtering Discharge, Spectrochimica Acta 48 B(8), 1023 − 1033 (1993)
[399] G. Franz, A. Kelp, P. Meßerer: Analysis of chlorine-containing plasmas applied in III/V semiconductor processing, J. Vac. Sci. Technol. A 18(5), 2053 − 2061 (2000)
[400] U. Fantz: private communication, Bad Tölz, 2001
[401] A.P. Richards, B.E. Thompson, K.D. Allen, H.H. Sawin: Atomic chlorine concentration measurements in a plasma etching reactor: I. A comparison of infrared absorption and optical emission
actinometry, J. Appl. Phys. 62(3), 792 − 798 (1987)
Literaturverzeichnis
67
[402] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley & Sons,
New York, N.Y., U.S.A., 1980, p. 30
[403] J.D. Jackson: ibid, p. 600 ff.
[404] P.W. Atkins: Physical Chemistry, Oxford University Press, Oxford, 1978, p. 550
[405] G.M. Barrow: Introduction to Molecular Spectroscopy, McGraw Hill, New York/London/Sydney,
1962, p. 53
[406] M. Born: ibid, p. 540
[407] R.A. Porter and W.R. Harshberger: Gas Rotational Temperature in an RF plasma, J. Electrochem.
Soc. 126(3), 460 − 464 (1979)
[408] V.M. Donnelly and M.V. Malyshev: Diagnostics of inductively coupled chlorine plasmas: Measurement of the neutral gas temperature, Appl. Phys. Lett. 77(16), 2467 − 2469 (2000)
[409] M.V. Malyshev and V.M. Donnelly: Diagnostics of inductively coupled chlorine plasmas: Measurement of electron and total positive ion densities, J. Appl. Phys. 90(3), 1130 − 1137 (2001)
[410] M.J. Schabel, V.M. Donnelly, A. Kornblit and W.W. Tai: Determination of electron temperature,
atomic fluorine concentration, and gas temperature in inductively coupled fluorocarbon/rare gas
plasmas using optical emission spectroscopy, J. Vac. Sci. Technol. A 20(2), 555 − 563 (2002)
[411] B. Bai and H. Sawin: Neutral gas temperature measurements within transformer coupled toroidal
argon plasmas, J. Vac. Sci. Technol. A 22(5), 2014 − 2021 (2004)
[412] Q. Wang, F. Doll, V.M. Donnelly, D.J. Economou, N. Sadeghi, G. Franz: Experimental and theoretical study of the effect of gas flow on gas temperature in an atmospheric pressure microplasma,
J. Phys. D: Appl. Phys. 40, 4202 (2007)
[413] J. I. Steinfeld: Molecules and radiation: An introduction to modern molecular spectroscopy, MIT
Press, Cambridge, Mass., 2nd edn. (1985)
[414] E.J. Tonnis and D.B. Graves: Neutral gas temperatures measured within a high-density, inductively coupled plasma abatement device, J. Vac. Sci. Technol. A 20(5), 1787 − 1795 (2002)
[415] G.P. Davis and R.A. Gottscho: Measurement of spatially resolved gas-phase plasma temperatures
by optical emission and laser-induced fluorescence spectroscopy, J. Appl. Phys. 54(6), 3080−3086
(1983)
[416] G. Franz, P. Meßerer: Monitoring of Reactive Chlorine Plasmas with Electrical Methods, 2nd
European Workshop on Advanced Equipment Control/Advanced Process Control (AEC/APC),
Dresden, Mar 30 − 31, 2000
[417] G. Franz: High-rate etching of GaAs using chlorine atmospheres doped with a Lewis acid, J.
Vac. Sci. Technol. A 16(3), 1542 − 1546 (1998)
[418] M.V. Malyshev, V.M. Donnelly, A. Kornblit, N.A. Ciampa: Percent-dissocation of Cl2 in inductively coupled, chlorine-containing plasmas, J. Appl. Phys. 84(1), 137 − 146 (1998)
[419] M.V. Malyshev, V.M. Donnelly, S. Samukawa: Ultrahigh frequency versus inductively coupled
chlorine plasmas: Comparisons of Cl and Cl2 concentrations and electron temperatures measured
by trace rare gases optical emission spectroscopy, J. Appl. Phys. 84(3), 1222 − 1230 (1998)
[420] M.J. Kushner, W.Z. Collison, M.J. Grapperhaus, J.P. Holland, M.S. Barnes: A three-dimensional
model for inductively coupled plasma etching reactors: Azimuthal symmetry, coil properties, and
comparison to experiments, J. Appl. Phys. 80(3), 1337 − 1344 (1996)
[421] E. Meeks, J.W. Shon: Modeling of Plasma-Etch Processes Using Well Stirred Reactor Approximations and Including Complex Gas-Phase and Surface Reactions, IEEE Trans. Plasma Sci.
PS-23(4), 539 − 549 (1995)
[422] G.A. Hebner: Negative ion density in inductively coupled chlorine plasmas, J. Vac. Sci. Technol.
A 14(4), 2158 − 2162 (1996)
[423] C.B. Fleddermann, G.A. Hebner: Negative ion densities in chlorine- and boron trichloridecontaining inductively coupled plasmas, J. Vac. Sci. Technol. A 15(4), 1955 − 1962 (1997)
[424] R. Subramonium, M. Kushner: Two-dimensional modeling of long-term transients in inductively
coupled plasmas using moderate computational parallelism. I. Ar pulsed plasmas, J. Vac. Sci.
Technol. A 20(2), 313 − 324 (2002)
[425] R. Subramonium, M. Kushner: Two-dimensional modeling of long-term transients in inductively
coupled plasmas using moderate computational parallelism. II. Ar/Cl2 pulsed plasmas, J. Vac.
Sci. Technol. A 20(2), 325 − 334 (2002)
68
Literaturverzeichnis
[426] R. Subramonium, M. Kushner: Extraction of negative ions from pulsed electronegative inductively
coupled plasmas having a radio-frequency substrate bias, J. Vac. Sci. Technol. A 22(3), 534−544
(2004)
[427] G.A. Hebner, M.G. Blain, T.W. Hamilton, C.A. Nichols, R.L. Jarecki: Surface dependent electron
and negative ion density in inductively coupled discharges, J. Vac. Sci. Technol. A 17(6), 3172 −
3178 (1999)
[428] G.A. Hebner, M.G. Blain, T.W. Hamilton: Influence of surface material on the boron chloride
density in inductively coupled discharges, J. Vac. Sci. Technol. A 17(6), 3218 − 3224 (1999)
[429] A.M. Efremov, D.-P. Kim, C.-I. Kim: Inductively coupled Cl2 /Ar plasma: Experimental investigation and modeling, J. Vac. Sci. Technol. A 21(4), 1568 − 1573 (2003)
[430] A.W. Wright: On the Production of Transparent Metallic Films by the Electrical Discharge in
Exhausted Tubes, Am. J. Sci. 13(3rd ser.)(1), 49 − 55 (1877)
[431] G.K. Wehner: ibid, p. 7
[432] D.M. Mattox: The History of Vacuum Coating Technology: Part II, Vacuum Technology and
Coating 3(3), 36 − 46 (2002)
[433] J. Stark: Über die zerstäubende Wirkung der Kanalstrahlen (Emission sekundärer Atomstrahlen),
Z. Elektrochem. 14, 752 − 756 (1908)
[434] J. Stark: Zur Theorie der Zerstäubung durch Atomstrahlen, ibid, 15, 509 − 512 (1909)
[435] R. Seeliger, K. Sommermeyer: Bemerkungen zur Theorie der Kathodenzerstäubung, Z. Phys. 93,
692 − 695 (1935)
[436] G.K. Wehner: Sputtering of Metal Single Crystals by Ion Bombardement, J. Appl. Phys. 26(18),
1056 − 1057 (1955)
[437] G.K. Wehner, G. S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Handbook of
Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, p. 24
[438] B. Chapman: ibid, p. 196
[439] Chr. Linder, W. Rietzler, H. Auer: Clusterline — Making 300 mm Possible, Unaxis Chip Heft 6,
47 − 50 (Jan. 2002)
[440] B. Chapman: ibid, p. 178
[441] G.K. Wehner, G.S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Handbook of Thin
Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, p. 3
[442] P. Sigmund: Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrystalline Targets,
Phys. Rev. 184(2), 383 − 416 (1969)
[443] M.W. Thompson: The Energy Spectrum of Ejected Atoms During the High Energy Sputtering
of Gold, Phil. Mag. 18, 377 − 414 (1968)
[444] W. Brandt, R. Laubert: Unified Sputtering Theory, Nucl. Instrum. Methods 47, 201 − 209 (1967)
[445] H.F. Winters: Physical Sputtering: A Discussion of Experiment and Theory, Amer. Chem. Soc.
Adv. Chem. Ser. 158, 1 − 29 (1976)
[446] I.S.T. Tsong, D.J. Barber: Review: Sputtering Mechanisms for Amorphous and Polycrystalline
Solids, J. Mater. Sci. 8, 123 − 135 (1973)
[447] W. Eckstein: Computer Simulation of Ion-Solid Interactions, Springer Series in Materials Science,
Bd. 10, Springer-Verlag, Berlin, 1991
[448] P. Sigmund: Sputtering by Ion Bombardement: Theoretical Concepts, in Sputtering by Particle
Bombardement, Vol. I, ed. by R. Behrisch, Springer-Verlag, Berlin, 1981
[449] C.A. Croxton: Liquid State Physics, Cambridge University Press, 1974, Chapter 2
[450] O. Almen, G. Bruce: Collection and Sputtering Experiments with Noble Gas Ions, Nucl. Instrum.
Methods 11, 257 − 278 (1961)
[451] J.P. Biersack, L.G. Hagmark: A Monte-Carlo Computer Program for the Transport of Energetic
Ions in Amorphous Targets, Nucl. Instrum. Methods 174, 257 − 269 (1980)
[452] J.F. Ziegler, J.P. Biersack, U. Littmark: The Stopping and Range of Ions in Matter, Vol. 1,
Pergamon, New York, N.Y., 1985
[453] G.K. Wehner: Annual Report on Sputtering Yields, ONR Contr. Nonr. 15, 1589 (1959)
[454] N. Lagreid, G.K. Wehner: Sputtering Yields of Metals for Ar+ and Ne+ Ions with Energies from
50 to 600 eV, J. Appl. Phys. 32(3), 365 − 369 (1961)
Literaturverzeichnis
69
[455] M.W. Thompson, R.S. Nelson: Evidence for Heated Spikes in Bombarded Gold from the Energy
Spectrum of Atoms Ejected by 43 keV Ar+ and Xe+ Ions, Phil. Mag. 7, 2015 − 2026 (1962)
[456] R.V. Stuart, G.K. Wehner, G.S. Anderson: Energy Distribution of Atoms Sputtered from Polycrystalline Metals, J. Appl. Phys. 40(2), 803 − 812 (1969)
[457] D.E. Harrison jr., N.S. Levy, J.P. Johnson III, H.M. Effron: Computer Simulation of Sputtering,
J. Appl. Phys. 39, 3742 − 3761 (1968)
[458] S.C. Park, R.A. Stansfield, D.C. Clary: Sputtering of a Cu Solid by SiCl4 Molecules, J. Phys. D:
Appl. Phys. 20, 880 − 888 (1987)
[459] W.D. Westwood: Calculation on Deposition Rates in Diode Sputtering Systems, J. Vac. Sci.
Technol. 15(11), 1 − 9 (1978)
[460] B.L. Sopori, W.S.C. Chang: Some Investigations on Deposition and Etching Profiles in Masked
RF Sputtering, J. Vac. Sci. Technol. 14(3), 782 − 785 (1977)
[461] J.M.E. Harper, J.J. Cuomo, R.J. Gambino, H.R. Kaufman, R.S. Robinson: Mean Free Path of
Negative Ions in Diode Sputtering, J. Vac. Sci. Technol. 15(4), 1597 − 1606 (1978)
[462] D.W. Pashley: The Growth and Structure of Thin Films, Chapter 3 in Thin Films, American
Society for Metals, Metals Park, OH, 59 − 98 (1963)
[463] D.W. Pashley, M.J. Strowell, M.H. Jacobs, T.J. Law: The Growth and Structure of Gold and
Silver Deposits Formed by Evaporation Inside an Electron Microscope, Phil. Mag. 10, 127 − 158
(1964)
[464] C.A. Neugebauer: Condensation, Nucleation, and Growth of Thin Films, Chapter 8 in Handbook
of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, N.Y., U.S.A.,
1970
[465] J.A. Thornton: Influence of Substrate Temperature and Deposition Rate on Structure of Thick
Sputtered Cu Coatings, J. Vac. Sci. Technol. 12(4), 830 − 835 (1975)
[466] P.W. Atkins: ibid, p. 191 ff.
[467] A. Brunegger: private communication, 1991
[468] J.A. Thornton: The microstructure of sputter-deposited coatings, J. Vac. Sci. Technol. A 4(6),
3059 − 3065 (1986)
[469] G.K. Wolf, W. Ensinger, M. Barth: Recent Progress in Ion Beam Assisted Evaporation for the
Low Temperature Modification of Film Stress, Hardness and Porosity, IPAT Proc. 7, 36 (1989)
[470] M.P. Lepselter: Beam-Lead Technology, Bell System Techn. J. 45(2), 233 − 254 (1966)
[471] M.-A. Nicolet: Diffusion barriers in thin films, Thin Solid Films 52, 415 − 443 (1978)
[472] M.-A. Nicolet, M. Bartur: Diffusion barriers in layered contact structures, J. Vac. Sci. Technol.
19(3), 786 − 793 (1981)
[473] D.M. Mattox, J.E. McDonald: Interface Formation during Thin Film Deposition, J. Appl. Phys.
34, 2493 − 2494 (1963)
[474] C. Hudson, R.E. Somekh: Origin of stresses in sputtered elemental and alloy thin films, J. Vac.
Sci. Technol. A 14(4), 2169 − 2174 (1996)
[475] H. Vahrenkamp: Was wissen wir über die Metall-Metallbindung? Angew. Chem. 90, 408 − 416
(1978)
[476] G. Franz: Oberflächentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag, Berlin,
1994, p. 177
[477] A.R. Bayly: Secondary Processes in the Evolution of Sputter-Topographics, J. Mater. Sci. 7
404 − 412 (1972)
[478] P. Sigmund: A Mechanism of Surface Micro-Roughening by Ion Bombardement, J. Mater. Sci.
8, 1545 − 1553 (1973)
[479] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 149
[480] G. Franz: Surface Roughening of Polished SiC Substrates, Mater. Sci. Semicond. Process. 5/6,
525 − 527 (2003)
[481] O. Auciello, R. Kelly, R. Iricibar: New Insight into the Development of Pyramidal Structures on
Bombarded Copper Surfaces, Rad. Effects 46, 105 − 118 (1980)
[482] G. Wehner: Influence of the Angle of Incidence on Sputtering Yields, J. Appl. Phys. 30(11),
1762 − 1765 (1959)
70
Literaturverzeichnis
[483] I.H. Wilson, M.W. Kidd: A Study of Cones Developed by Ion Bombardement of Gold, J. Mater.
Sci. 6, 1362 − 1366 (1971)
[484] G. Carter, J.S. Colligon, M.J. Nobes: The Equilibrium Topography of Sputtered Amorphous
Solids II, J. Mater. Sci. 6, 115 − 117 (1971)
[485] G. Carter, J.S. Colligon, M.J. Nobes: The Growth of Topography during Sputtering of Amorphous
Solids, J. Mater. Sci. 8, 1473 − 1481 (1973)
[486] G. Carter, M.J. Nobes: The Theory of Development of Surface Morphology by Sputter Erosion
Processes, in Ion Bombardement Modification of Surfaces, ed. by O. Auciello and R. Kelly,
Elsevier, Amsterdam/Oxford/New York/Tokyo, 1984, 163 − 224
[487] A.D.G. Stewart, M.W. Thompson: Microtopography of Surfaces by Ion-Bombardement, J. Mater.
Sci. 4, 56 − 60 (1969)
[488] G.K. Wehner, D.J. Hajicek: Cone Formation on Metal Targets during Sputtering, J. Appl. Phys.
42(3), 1145 − 1149 (1971)
[489] W.R. Hudson: Ion-Beam Texturing, J. Vac. Sci. Technol. 14(1), 286 − 289 (1977)
[490] O. Auciello: A Critical Analysis on the Origin, Stability, Relative Sputtering Yield and Related
Phenomena of Textured Surfaces under Ion Bombardement, Rad. Effects 60, 1 − 26 (1982)
[491] H.R. Kaufman, R.S. Robinson: Ion Beam Texturing of Surfaces, J. Vac. Sci. Technol. 16(2),
175 − 178 (1979)
[492] S.M. Rossnagel, R.S. Robinson, H.R. Kaufman: Impact Enhanced Surface Diffusion during Impurity Induced Sputter Cone Formation, Surface Sci. 123, 89 − 98 (1982)
[493] R.S. Robinson, S.M. Rossnagel: Diffusion Processes in Bombardement-Induced Surface Topography, in Ion Bombardement Modification of Surfaces, ed. by O. Auciello and R. Kelly, Elsevier,
Amsterdam/Oxford/New York/Tokyo, 1984, 299 − 322
[494] S.M. Rossnagel, R.S. Robinson: Quasi-Liquid State Observed on Ion Beam Microtextured Structures, J. Vac. Sci. Technol. 20(3), 506 − 509 (1982)
[495] O. Auciello: Historical Overview of Ion-Induced Morphological Modification of Surfaces, in Ion
Bombardement Modification of Surfaces, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokyo, 1984, 1 − 25
[496] S.N. Rossnagel, R.S. Robinson: Monte Carlo Model of Topography Development during Sputtering, J. Vac. Sci. Technol. A 1(2), 426 − 429 (1983)
[497] M. Hou, M.T. Robinson: The conditions for total reflection of low-energy atoms from crystal
surfaces, Appl. Phys. 17(4), 371 − 375 (1978)
[498] M.J. Nobes, J.S. Colligon, G. Carter: The Equilibrium Topography of Sputtered Amorphous
Solids, J. Mater. Sci. 4, 730 − 733 (1969)
[499] H. Dimigen, H. Lüthje: An Investigation of Ion Etching, Philips Techn. Rev. 35(7/8), 199 − 208
(1975)
[500] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, S. 102
[501] G.C. Schwartz, P.M. Schaible: Reactive Ion Etching of Silicon: Temperature Effects, Proc. Sympos. Plasma Etching & Deposition, 133 − 154 (1981)
[502] I. Hussla, K. Enke, H. Grünwald, G. Lorenz, H. Stoll: In-situ Silicon-Wafer Temperature Measurements during RF Argon-Ion Plasma Etching via Fluoroptic Thermometry, J. Phys. D: Appl.
Phys. 20, 889 − 896 (1987)
[503] M.H. Sun, J.H. Kim, C.L. Sandberg: Advances in Fluoroptic Thermometry: New Applications
in Temperature Measurement, in Proc. Northeastern Conf. Exhib. Industr. Instrumentation and
Control, 79 − 85 (1985)
[504] A. Mitchell, R.A. Gottscho: Plasma power dissipation at wafer surfaces measured using pulsed
photoluminescence spectroscopy, J. Vac. Sci. Technol. A 8(3), 1712 − 1715 (1990)
[505] D. Hacman: Optische Messung der Substrat-Temperatur in der Vakuumaufdampftechnik, Optik
28(2), 115 − 125 (1968)
[506] V.M. Donnelly, J.A. McCaulley: Infrared-laser interferometric thermometry: A nonintrusive technique for measuring semiconductor wafer temperatures, J. Vac. Sci. Technol. A 8(1), 84 − 92
(1990)
[507] V.M. Donnelly, D.E. Ibbotson, C.P. Chang: Interferometric thermometry measurements of silicon
wafer temperatures during plasma processing, J. Vac. Sci. Technol. A10(4), 1060 − 1064 (1992)
Literaturverzeichnis
71
[508] F. Stern: Dispersion of the Index of Refraction Near the Absorption Edge of Semiconductors,
Phys. Rev. 133 A(6), 1653 − 1664 (1964)
[509] E.J. Egerton, A. Nef, W. Millikin, W. Cook, D. Baril: Positive Wafer Temperature Control to
Increase Dry Etch Throughput and Yield, Solid State Technol. 25(8), 84 − 87 (1982)
[510] H.F. Winters, E. Kay: Gas Incorporation into Sputtered Films, J. Appl. Phys. 38(10), 3928−3934
(1967)
[511] H.F. Winters, D.L. Raimondi, D.E. Horne: Proposed Model for the Composition of Sputtered
Multicomponent Thin Films, J. Appl. Phys. 40(7), 2996 − 3006 (1969)
[512] B. Chapman: ibid, p. 221
[513] G. Franz: Oberflächentechnologie mit Niederdruckplasmen, 2. Auflage, Springer-Verlag, Berlin,
1994, S. 165
[514] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, S. 108
[515] O.C. Yonts, D.E. Harrison, jr.: Surface Cleaning by Cathode Sputtering, J. Appl. Phys. 31(9),
1583 − 1584 (1960)
[516] D.T. Mudd, C. Davis, W.W. White, B. Kouk, M. Fletcher: Pressure-Based MFCs, Semicond. Int.
25(3), 75 − 81 (2002)
[517] R. de Gryse, D. Depla, J. Haemers: Poisoning During Sputtering of an Al-Target in a Mixture of
Ar and O2 , Vac. Coating Technol. 3(2), 24 − 31 (2002)
[518] D.J. Christie, D. Kovalevskii, D.E. Morgan, E.A. Seymour: A New Generation of Power Supplies
for Large Area Dual Magnetron Sputtering, Vac. Coating Technol. 3(3), 30 − 34 (2002)
[519] G. Franz, B. Lange, S. Sotier: Characterization of sputtered indium tin oxide layers as transparent
contact material, J. Vac. Sci. Technol. A 19(5), 2514 − 2521 (2001)
[520] H. Kaneko, K. Miyake, Y. Teramoto: Preparation and Properties of Reactively Sputtered Tungsten Oxide Films, J. Appl. Phys. 53(4), 3070 − 3075 (1982)
[521] G. Kristen: private communication, Munich, 1993
[522] L. Atternäs, L. Thylén: Single-Layer Antireflection Coating of Semiconductor Lasers: Polarization
Properties and the Influence of the Laser Structure, J. Lightwave Technol. 7(2), 426−430 (1989)
[523] B.N. Chapman, D. Downer, L.J.M. Guimaraes: Electron Effects in Sputtering and Cosputtering,
J. Appl. Phys. 45(5), 2115 − 2120 (1974)
[524] H.S.W. Massey, E.H.S. Burhop: ibid, p. 21 ff.
[525] R.P.H. Chang, A.K. Sinha: Plasma Oxidation of GaAs, Appl. Phys. Lett. 29(1), 56 − 58 (1976)
[526] G. Franz: Anodic oxidation of InP using a citric-acid-based solution, J. Appl. Phys. 63(2), 500 −
505 (1988)
[527] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley & Sons,
New York, N.Y., U.S.A., 1980, p. 215
[528] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 111
[529] G. Franz: Oberflächentechnologie mit Niederdruckplasmen, 2. Auflage, Springer-Verlag, Berlin,
1994, p. 170
[530] J.A. Mawella, J.A. Sheward: Sputtered Alloy Coatings by Codeposition: Effects of Bias Voltage,
Thin Solid Films 193 & 194, 27 − 33 (1990)
[531] M. Knudsen: Die Molekülströmung der Gase durch Öffnungen und die Effusion, Ann. Phys. 28,
999 − 1016 (1909)
[532] G. Franz: Characterization of Gold Layers Selectively Plated by a Pulsed Current, Thin Solid
Films 169(1), 105 − 115 (1989)
[533] M. Mansour, M.S. Salama, D.R. Arnell, A.A.F. Mostafa: Mechanical Characterization of Si3 N4
Coatings Deposited by Reactive Sputter Ion Plating, IPAT Proc. 7, 196 − 201 (1989)
[534] D.W. Hoffman, M.R. Gaerttner: Modification of Evaporated Chromium by Concurrent Ion Bombardement, J. Vac. Sci. Technol. 17(1), 425 − 428 (1980)
[535] J.J. Cuomo, J.M.E. Harper, C.R. Guarneri, D.S. Yee, L.J. Attanasio, J. Angilello, C.T. Wu,
R.H. Hammond: Modification of Niobium Film Stress by Low-Energy Ion Bombardement during
Deposition, J. Vac. Sci. Technol. 20(3), 349 − 354 (1982)
[536] R.W. Knoll, E.R. Bradley: Thin Solid Films 117, 201 (1984)
[537] C.P. Flynn: Point Defects and Diffusion, Clarendon Press, Oxford, U.K., 1972
72
Literaturverzeichnis
[538] J.M.E. Harper, J.J. Cuomo, R.J. Gambino, H.R. Kaufman: Modification of Thin Film Properties by Ion Bombardement during Deposition, Chapter 4 in Ion Bombardement Modification of
Surfaces, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam 1984, p. 153
[539] K. Hieber: Radio Frequency Sputter Deposition of Alloy Films, Siemens Forsch.- und Entw. Ber.
11(3), 145 − 148 (1982)
[540] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 115
[541] P. Luthier, F. Levy, H. Jotterand: Cosputtering Equipment Based on two Independent RF Magnetron Sources in a UHV Chamber, IPAT Proc. 7, 37 − 41 (1989)
[542] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 117
[543] C.W. Pitt: private communication, Geneva, 1989
[544] J.J. Cuomo, R.J. Gambino: Influence of Sputtering Parameters on the Composition of MultiComponent Films, J. Vac. Sci. Technol. 12(1), 79 − 83 (1975)
[545] P. Chaudhari, J.J. Cuomo, R.J. Gambino: Amorphous Metallic Films for Bubble Domain Applications, IBM J. Res. Dev. 17(1), 66 − 68 (1973)
[546] J.W. Coburn, E. Taglauer, E. Kay: A Study of the Neutral Species RF Sputtered from Oxide
Targets, Jpn. J. Appl. Phys. Suppl. 2, 501 − 504 (1974)
[547] H. Schäfer, H.G.v. Schnering: Metall-Metall-Bindungen bei niederen Halogeniden, Oxyden und
Oxydhalogeniden schwerer Übergangsmetalle, Angew. Chem. 76, 833 − 868 (1964)
[548] F. Shinoki, A. Itoh: Mechanism of RF Reactive Sputtering, J. Appl. Phys. 46(8), 3381 − 3384
(1975)
[549] G. Francis: ibid, p. 177 ff.
[550] R.E. Jones, H.F. Winters, L.J. Maissel: Effect of Oxygen on the RF-Sputtering Rate of SiO2 , J.
Vac. Sci. Technol. 5, 84 − 87 (1968)
[551] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, ed. by S. Flügge, Vol. 21,
part 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 386
[552] J.J. Thomson, G.P. Thomson: Conduction of Electricity through Gases, 3rd edn., Cambridge
University Press, Cambridge, 1933 (unchanged print by Dover Publ., New York, N.Y., U.S.A.,
1968), Vol. I, p. 223 ff.
[553] F.A. Green, B.N. Chapman: Electron Effects in Magnetron Sputtering, J. Vac. Sci. Technol.
13(1), 165 − 168 (1976)
[554] L. Maissel: Application of Sputtering to the Deposition of Films, Chapter 4 in Handbook of Thin
Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, New York, N.Y., U.S.A., 1970,
p. 32
[555] H.G. Severin: Sputtern — Die Erzeugung dünner Schichten, Phys. in uns. Zeit 17(3), 71 − 79
(1986)
[556] R. Benz, H. Hirscher: Money for Nothing . . . . . . and Chips for Free, Unaxis Chip Heft 6, 45 f.
(Jan. 2002)
[557] J.A. Thornton, D.W. Hoffman: Internal stress in amorphous silicon films deposited by cylindrical
magnetron sputtering using Ne, Ar, Kr, Xe and Ar+H2 , J. Vac. Sci. Technol. 18(2), 203 − 207
(1981)
[558] J.A. Thornton, D.W. Hoffman: The influence of discharge current on the intrinsic stress in Mo
films deposited using cylindrical and planar magnetron sputtering sources, J. Vac. Sci. Technol.
A 3(3), 576 − 579 (1985)
[559] G. Este, W.D. Westwood: A quasi-direct-current sputtering technique for the deposition of dielectrics at enhanced rates, J. Vac. Sci. Technol. A 6(3), 1845 − 1848 (1988)
[560] V.J. Minkiewicz, B.N. Chapman: Triode Plasma Etching, Appl. Phys. Lett. 34(3), 192 − 193
(1979)
[561] J. Morley, H.R. Smith: High Vacuum Ion Production for Vacuum Deposition, J. Vac. Sci. Technol.
9(6), 1377 − 1378 (1972)
[562] E. Moll: Hard Wear Resistant Coatings, IPAT Proc. 7, 1 − 8 (1989)
[563] D.G. Teer: A Magnetron Sputter Ion Plating System, IPAT Proc. 7, 145 − 152 (1979)
[564] J.B. Posthill, R.A. Rudder, S.V. Hattangady, G.G. Fountain, D.J. Vitkavage, R.J. Markunas:
Characterization of Epitaxial Ge Films Grown by Remote Plasma Enhanced Chemical Vapor
Literaturverzeichnis
[565]
[566]
[567]
[568]
[569]
[570]
[571]
[572]
[573]
[574]
[575]
[576]
[577]
[578]
[579]
[580]
[581]
[582]
[583]
[584]
[585]
[586]
[587]
[588]
[589]
73
Deposition on Ge (111) and GaAs (111) Substrates, J. Vac. Sci. Technol. A7(3), 1130 − 1135
(1989)
W. Kasper, R. Plättner, J. Eichmeier: Properties of GeH4 (SiH4 ) Plasmas for Deposition of
Device-Quality a-Ge:H(a-Si:H) Material, J. Non-Cryst. Solids 137 & 138, 799 − 802 (1991)
R. Plättner, E. Günzel, G. Scheinbacher, B. Schröder: Light Stability of Amorphous Germanium,
AIP Conference Proc. 234, ed. by B.L. Stafford, Denver, Colo., 1991, 218 − 225
M.J. Rand: Plasma-Promoted Deposition of Thin Inorganic Films, J. Vac. Sci. Technol. 16(2),
420 − 427 (1979)
R.W. Kirk: Applications of Plasma Technology to the Fabrication of Semiconductor Devices,
Chapter 9 in Technologies and Applications of Plasma Chemistry, ed. by J.R. Hollahan and A.T.
Bell, J. Wiley & Sons, New York, N.Y., U.S.A., 1974
W.A.P. Claassen, W.G.J.N. Valkenburg, M.F.C. Willemsen, W.M.v.d. Wijgert: Influence of Deposition Temperature, Gas Pressure, Gas Phase Composition, and RF-Frequency on Composition
and Mechanical Stress of Plasma Silicon Nitride Layers, J. Electrochem. Soc. 132(4), 893 − 898
(1985)
Chr. Hollenstein, A.A. Howling, C. Courteille, J.-L. Dorier, L. Sansonnens, D. Magni, H. Müller:
Dust Particle Diagnostics in RF Plasma Deposition of Silicon and Silicon Oxide Films, Mater.
Res. Soc. Sympos. Proc. 507, 547 − 557 (1998)
S. Aisenberg, R. Chabot: Ion-Beam Deposition of Thin Films of Diamondlike Carbon, J. Appl.
Phys. 42(7), 2953 − 2958 (1971)
S. Aisenberg, R.W. Chabot: Physics of Ion Plating and Ion Beam Deposition,
J. Vac. Sci. Technol. 10(1) 104 − 107 (1973)
L. Holland, S.M. Ojha: Deposition of Hard and Insulating Carbonaceous Films on an R.F. Target
in a Butane Plasma, Thin Solid Films 38, L17 − L19 (1976)
B.V. Spitsyn, L.L. Buyilov, B.V. Derjaguin: Vapor Growth of Diamond on Diamond and other
Surfaces, J. Cryst. Growth 52, 219 − 226 (1981)
B.V. Derjaguin, L.L. Bouilev, B.V. Spitsyn: Crystallization and Certain Properties of Diamon
Films, Arch. Nauki Mater. 7(2), 111 − 119 (1986)
K. Kobayashi, N. Mutsukura, Y. Machi: Deposition of Hard Carbon Films by RF Glow Discharge
Method, J. Appl. Phys. 59(3) 910 − 912 (1986)
P.K. Bachmann, D. Leers, D.U. Wiechert: Diamond Thin Films: Preparation, Characterization
and Selected Applications — Progress Report, Ber. Bunsenges. Phys. Chem. 95(11), 1390−1400
(1991)
S.Y. Shapoval, V.T. Petrashov, O.A. Popov, A.O. Westner, M.D. Yoder, jr., C.K.C. Lok: Cubic Boron Nitride Films Deposited by Electron Cyclotron Resonance Plasma, Appl. Phys. Lett.
57(18), 1885 − 1886 (1990)
K.E. Spear: Diamond — Ceramic Coating of the Future, J. Am. Ceram. Soc. 72(2), 171 − 191
(1989)
M.W. Geis, J.C. Angus: Diamond Film Semiconductors, Scientific American 267(10), 64 − 69
(1992)
A. Dorotik: private communcication, Munich, 2002
D.G. Teer: Magnetron ion plating, UK patent # GB 2-258-343 B
S.K. Field, M. Jarratt, D.G. Teer: Tribological properties of graphite-like and diamond-like carbon
coatings, Tribol. Int. 37, 949 − 956 (2004)
U. Wiklund, M. Larsson: Low friction PVD titanium-carbon coatings, Wear 241, 234−238 (2000)
D. Bollinger, S. Hida, O. Matsumoto: Reactive Ion Etching: Its Basis and Future I, Solid State
Technol. 27(5), 11 − 17 (1984)
D. Bollinger, S. Hida, O. Matsumoto: Reactive Ion Etching: Its Basis and Future II, Solid State
Technol. 27(6), 167 − 173 (1984)
J.W. Coburn, H.F. Winters: Plasma Etching—a Discussion of Mechanisms, J. Vac. Sci. Technol.
16(2), 391 − 403 (1979)
C.M. Melliar-Smith, C.J. Mogab: Thin Film Processes, ed. by J.L. Vossen and W. Kern, Academic
Press, New York, N.Y., U.S.A., 1978, 497 − 556
J.A. Mucha, D.W. Hess: ibid, p. 217
74
Literaturverzeichnis
[590] J.A. Mucha, D.W. Hess: ibid, p. 251
[591] D.L. Tolliver: Plasma Processing in Microelectronics — Past, Present, and Future, Solid State
Technol. 23(11), 99 − 105 (1980)
[592] V.M. Donnelly, D.I. Flamm: Anisotropic Etching in Chlorine-Containing Plasmas, Solid State
Technol. 24(4), 161 − 166 (1981)
[593] J.W. Coburn: Plasma-assisted Etching, Plasma Chem. Plasma Process. 2(1), 1 − 41 (1982)
[594] V.M. Donnelly, D.E. Ibbotson, D.L. Flamm: Fundamental Aspects of Plasma-Surface Interactions
and the Etching Process, Chapter 8 in Ion Bombardement Modification of Surfaces, ed. by O.
Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York, 1984, p. 355
[595] C.B. Mullius, J.W. Coburn: Ion-beam assisted etching of Si with fluorine at low temperatures,
J. Appl. Phys. 76(11), 7562 − 7566 (1994)
[596] V.M. Donnelly, D.I. Flamm, C.W. Tu, D.E. Ibbotson: Temperature Dependence of InP and GaAs
Etching in a Chlorine Plasma, J. Electrochem. Soc. 129(11), 2533 − 2537 (1982)
[597] S.C. McNevin: Chemical Etching of GaAs and InP by Chlorine: The Thermodynamically Predicted Dependence on Cl2 Pressure and Temperature, J. Vac. Sci. Technol. B 4(5), 1216 − 1226
(1986)
[598] J. Daleiden, K. Eisele, J.D. Ralston, G. Vollrath, F. Fiedler: Low-Temperature CAIBE Processes
for InP-Based Optoelectronics, Proc. 7th Int. Conf. on InP and Rel. Mater., Sapporo, Japan,
May 9 − 13, 1995 632 − 635
[599] E.L. Hu, R.E. Howard: Reactive Ion Etching in a Chlorine Plasma, J. Vac. Sci. Technol. B 2(1),
85 − 88 (1984)
[600] J. Kaindl, S. Sotier, G. Franz: Dry Etching of III/V-Semiconductors: Fine Tuning of Pattern
Transfer and Process Control, J. Electrochem. Soc. 142(7), 2418 − 2424 (1995)
[601] G. Franz: Robust Reactive Ion Etching Processes for GaAs/AlGaAs/AlAs by Application of
Statistical Concepts, J. Electrochem. Soc. 140(4), 1147 − 1151 (1993)
[602] L.I. Maissel, C.K. Standley, L.V. Gregor: Sputter-Etching of Heterogeneous Surfaces, IBM J. Res.
Develop. 16(1), 67 − 70 (1972)
[603] M.J. Kushner: Monte-Carlo Simulation of Electron Properties in RF Parallel Plate Capacitively
Coupled Discharge, J. Appl. Phys. 54(9), 4958 − 4964 (1983)
[604] B.N. Chapman, V.J. Minkiewicz: Flow Rate Effects in Plasma Etching, J. Vac. Sci. Technol.
15(2), 329 − 332 (1978)
[605] R.A. Morgan: ibid, p. 33
[606] C.J. Mogab: The Loading Effect in Plasma Etching, J. Electrochem. Soc. 124, 1262 − 1268
(1977)
[607] M. Nakamura, M. Itoga, Y. Ban: Investigation of Aluminum Plasma Etching by Some Halogenized
Gases, Proc. Electrochem. Soc. Spring Meeting, Abstr. No. 114, 298 − 300 (1980)
[608] E.P.G.T.v.d. Ven, H. Kalter: Plasma Photoresist Stripping of MOS Devices, Paper 121 presented
at the Electrochem. Soc. Meeting, Washington, DC, May 02 − 07 (1976)
[609] J.L. Vossen: Inhibition of chemical sputtering of organics and C by trace amounts of Cu surface
contamination, J. Appl. Phys. 47(2), 544 − 546 (1976)
[610] T.R. Hayes, M.A. Dreisbach, P.M. Thomas, W.C. Dautremont-Smith, L.A. Heimbrook: Reactive
ion etching of InP using CH4 /H2 mixtures: Mechanisms of etching and anisotropy, J. Vac. Sci.
Technol. B 7(5), 1130 − 1139 (1989)
[611] G.F. Froment, K.B. Bischoff: Chemical Reactor Analysis and Design, 2nd edn., J. Wiley & Sons,
New York, N.Y., U.S.A., 1990, p. 303
[612] E.C. Stassinos, H.H. Lee: Transport Effects in Plasma Reactors for Etching Planar and Hexode
Reactors, J. Electrochem. Soc. 137(1), 291 − 295 (1990)
[613] G.F. Froment, K.B. Bischoff: ibid, p. 446 ff.
[614] D.J. Economou, R.C. Alkire: A Mathematical Model for a Parallel Plate Plasma Etching Reactor,
J. Electrochem. Soc. 135(11), 2786 − 2794 (1988)
[615] R.C. Alkire, D.J. Economou: Transient Behavior during Film Removal in Diffusion-Controlled
Plasma Etching, J. Electrochem. Soc. 132(3), 648 − 656 (1985)
[616] L. Bigio: Laser Diagnostic Density Measurements of Hg(6 3 P0,1,2 ) in a Low Pressure Hg-ArDischarge, J. Electrochem. Soc. 136, 829 − 834 (1989)
Literaturverzeichnis
75
[617] H.H. Lee: private communication, 1991
[618] H.W. Choi, C.W. Jeon, M.D. Dawson: Tapered sidewall dry etching process for GaN and its
applications in device fabrication, J. Vac. Sci. Technol. B 23(1), 99 − 102 (2005)
[619] P. Unger: private communication, Ulm, May 2007
[620] C.M. Melliar-Smith: Ion Etching for Pattern Delineation, J. Vac. Sci. Technol. 13(5), 1008−1022
(1976)
[621] H.I. Smith, J.Melngailis, R.C. Williamson, W.T. Brogan: Ion Beam Etching of Surface Gratings,
Proc. Ultrasonics Sympos. 1973, ed. by T. d. Klerk, IEEE, New York, N.Y., U.S.A., p. 558 − 563
[622] U. Niggebrügge, M. Klug, G. Garus: A Novel Process for Reactive Ion Etching of InP Using
CH4 /H2 , Inst. Phys. Conf. Ser. 79, 367 − 372 (1986)
[623] G. Franz: Oberflächentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag, Berlin,
1994, p. 215
[624] H. Dimigen, H. Lüthje, H. Hubsch, U. Convertini: Influence of mask material on ion etched
structures, J. Vac. Sci. Technol. 13(4), 976 − 980 (1976)
[625] G. Franz, F. Rinner: Reactive ion etching of GaN and GaAs: Radially uniform processes for
rectangular, smooth sidewalls, J. Vac. Sci. Technol. A 17(1), 56 − 61 (1999)
[626] P.G. Gløersen: Masking for Ion Beam Etching, Solid State Technol. 19(4), 68 − 73 (1976)
[627] M. Sato, Y. Arita: Etched Shape Control of Single-Crystal Silicon in Reactive Ion Etching Using
Chlorine, J. Electrochem. Soc. 134(11), 2856 − 2862 (1987)
[628] G.S. Oehrlein, K.K. Chan, M.A. Jaso, G.W. Rubloff: Surface analysis of realistic semiconductor
microstructures, J. Vac. Sci. Technol. A 7(3), 1030 − 1034 (1989)
[629] G.S. Oehrlein, J.F. Rembetski, E.H. Payne: Study of Sidewall Passivation and Microscopic Silicon
Roughness Phenomena in Chlorine-Based Reactive Ion Etching of Silicon Trenches, J. Vac. Sci.
Technol. B 8(6), 1199 − 1211 (1990)
[630] G. Franz, W. Hösler, R. Treichler: Sidewall passivation of GaAs in BCl3 -containing atmospheres,
J. Vac. Sci. Technol. B 19(2), 415 − 419 (2001)
[631] D.L.Flamm: Introduction to Plasma Physics, in Plasma Etching—an Introduction, ed. by D.M.
Manos and D.L. Flamm, Academic Press, Inc., Boston/San Diego/New York, p. 151
[632] Ch. Hoyler, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 147
[633] D. Bollinger, R. Fink: A New Production Technique: Ion Milling, Solid State Technol. 23(12),
97 − 103 (1980)
[634] J.M. Lane, F.P. Klemens, K.H.A. Bogart, M.V. Malyshev, J.T.C. Lee: Feature evolution during
plasma etching. II. Polycrystalline silicon etching, J. Vac. Sci. Technol. A 18(1), 188−196 (2000)
[635] J.M. Lane, K.H.A. Bogart, F.P. Klemens, J.T.C. Lee: The role of feedgas chemistry, mask material, and processing parameters in profile evolution during plasma etching of Si(100), J. Vac.
Sci. Technol. A 18(5), 2067 − 2079 (2000)
[636] Robert E. Lee: Microfabrication by Ion-Beam Etching, J. Vac. Sci. Technol. 16(2), 164 − 170
(1979)
[637] G. Franz: Oberflächentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag, Berlin,
1994, p. 216
[638] K.H.A. Bogart, F.P. Klemens, M.V. Malyshev, J.I. Colonell, V.M. Donnelly, J.T.C. Lee: Mask
charging and profile evolution during chlorine plasma etching of silicon, J. Vac. Sci. Technol. A
18(1), 197 − 206 (2000)
[639] K.P. Giapis, G.R. Scheller, R.A. Gottscho, W.S. Hobson, Y.H. Lee: Microscopic and macroscopic
uniformity control in plasma etching, Appl. Phys. Lett. 57(10), 983 − 985 (1990)
[640] R.H. Bruce, A.P. Reinberg: Profile Control with DC Bias in Plasma Etching, J. Electrochem.
Soc. 129(2), 393 − 396 (1982)
[641] R.A. Gottscho, C.W. Jurgensen, D.J. Vitkavage: Microscopic uniformity in plasma etching, J.
Vac. Sci. Technol. B 10(5), 2133 − 2147 (1992)
[642] D.L. Olynick, J.A. Liddle, I.W. Rangelow: Profile evolution of Cr masked features undergoing
HBr-inductively coupled plasma etching for use in 25 nm silicon imprint templates, J. Vac. Sci.
Technol. B23(5), 2073 − 2077 (2005)
[643] D. Chin, S.H. Dhong, G.J. Long: Structural Effects on a Submicron Trench Process, J. Electrochem. Soc. 132(7), 1705 − 1707 (1985)
76
Literaturverzeichnis
[644] J.W. Coburn, H.F. Winters: Conductance Considerations in the Reactive Ion Etching of High
Aspect Ratio Features, Appl. Phys. Lett. 55(26), 2730 − 2732 (1989)
[645] N. Fujiwara, H. Sawai, M. Yoneda, K. Nishioka, H. Abe: ECR Plasma Etching with Heavy Halogen
Ions, Jpn. J. Appl. Phys. 29, 2223 − 2228 (1990)
[646] D. Keil, E. Anderson: Charakterization of Reactive Ion Etch Lag Scaling, J. Vac. Sci. Technol.
B 19(6), 2082 − 2088 (2001)
[647] D.J. Economou, R.C. Alkire: Effect of Potential Field on Ion Deflection and Shape Evolution of
Trenches during Plasma-Assisted Etching, J. Electrochem Soc. 135(4), 941 − 949 (1988)
[648] S.G. Ingram: The influence of substrate topography on ion bombardement in plasma etching, J.
Appl. Phys. 68(2), 500 − 504 (1990)
[649] J.P. Boeuf, E. Marode: A Monte Carlo Analysis of an Electron Swarm in a Non-Uniform Field:
the Cathode Region of a Glow Discharge in Helium, J. Phys. D: Appl. Phys. 15, 2169 − 2187
(1982)
[650] J.C. Arnold, H.H. Sawin: Charging of pattern features during plasma etching, J. Appl. Phys.
70(10), 5314 − 5317 (1991)
[651] L. Schleicher, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 198
[652] K. Nojiri, E. Iguchi, K. Kawamura, K. Kadota: Microwave Plasma Etching of Silicon Dioxide for
Half-Micron ULSIs, Extend. Abstr. 21st Conf. Sol. State Dev. Mater., Tokio, 1989, 153 − 156
[653] G.W. Reynolds, J.W. Taylor, C.J. Brooks: Direct measurement of x-ray mask sidewall roughness
and its contribution to the overall sidewall roughness of chemically amplified resist features, J.
Vac. Sci. Technol. B 17, 3420 − 3425 (1999)
[654] G.M. Schmid, M.D. Stewart, V.K. Singh, C.G. Willson: Spatial distribution of reaction products
in positive tone chemically amplified resists, J. Vac. Sci. Technol. B 20, 185 − 190 (2002)
[655] Y. Ma, G. Tsvid, F. Cerrina: Line edge roughness of sub-100 nm dense and isolated features:
Experimental study, J. Vac. Sci. Technol. B 21, 3124 − 3130 (2003)
[656] E. Gogolides, V. Constantoudis, G.P. Patsis, A. Tserepi: A review of line edge roughness and
surface nanostructure resulting from patterning processes, Microelectron. Eng. 83, 1067 − 1072
(2006)
[657] L.D. Bollinger: Ion Beam Etching with Reactive Gases, Solid State Technol. 26(1), 99 − 108
(1983)
[658] A. Baudrant, A. Passerat, D. Bollinger: Reactive Ion Beam Etching of Tantalum Silicide for VLSI
Applications, Solid State Technol. 26(9), 183 − 187 (1983)
[659] I.W. Rangelow: Computer Simulation of Line Edge Profiles Undergoing Ion Bombardement, J.
Vac. Sci. Technol. A 1(2), 410 − 414 (1983)
[660] W.J. Zubrzycki, G.A. Vawter, J.R. Wendt: High-aspect-ratio nanophotonic components fabricated by Cl2 reactive ion beam etching, J. Vac. Sci. Technol. B 17(6), 2740 − 2744 (1999)
[661] M. Schier: private communication, Munich, 1993
[662] G. Franz, Ch. Hoyler, D. Sacher: Wet Chemical Etching Behavior of Ga(Al)As and In(Ga)P(As)
Layers, Jpn. J. Appl. Phys. 30(11), 2693 − 2699 (1991)
[663] Ch. Hoyler, H. Westermeier: Siemens laborarory report ZFE ST KM 3-4, 1993
[664] H.P. Lee, A. Scherer, W.P. Hong, C.E. Zah, M. Orenstein, R. Bhat, E.D. Beebe, N. Andreadakis,
M.A. Koza: 1.5 µm InGaAsP/InP Vertically Coupled Semiconductor Optical Pre-Amplifier,
Appl. Phys. Lett. 59(10), 1141 − 1143 (1991)
[665] S. Wakabayashi, K. Mutoh, M. Nakajima, Y. Toyoda: InGaAsP/InP Horizontal Cavity SurfaceEmitting Lasers Radiating in two Opposite Directions, Appl. Phys. Lett 61(13), 1499 − 1501
(1992)
[666] R.S. Robinson: Thirty-Centimeter-Diameter Ion Milling Source, J. Vac. Sci. Technol. 15(2),
277 − 280 (1978)
[667] K. Arimatsu, I. Hashimoto, S. Ooishi, S. Tanaka, T. Sato, T. Gejyo: Development of Large Scale
Ion Beam Milling Machines, Nucl. Instrum. Meth. Phys. B 37/38, 833 − 837 (1989)
[668] M.W. Geis, J.A. Lincoln, N. Efremow, W.J. Piacentini: A Novel Anisotropic Dry Etching Technique, J. Vac. Sci. Technol. 19(4), 1390 − 1393 (1981)
Literaturverzeichnis
77
[669] G.A. Lincoln, M.W. Geis, L.J. Mahoney, A. Chu, B.A. Vojak, K.B. Nichols, W.J. Piacentini, N.
Efremow, W.T. Lindley: Ion Beam Assisted Etching for GaAs Device Applications, J. Vac. Sci.
Technol. 20(3), 786 − 789 (1982)
[670] P. Unger, in R. Diehl: High-Power Diode Lasers, Topics Appl. Phys. 78, 1 − 53, Springer-Verlag,
Berlin/Heidelberg 2000
[671] E. Deichsel, F. Eberhard, R. Jäger, P. Unger: High-Power Laser Diodes with Dry-Etched Mirror
Facets and Integrated Monitor Photodiodes, IEEE J. Sel. Topics Quantum Electron. 7(3), 106 −
110 (2001)
[672] E. Deichsel, R. Jäger, P. Unger: High-Brightness Unstable-Resonator Lasers Fabricated with
Improved Dry-Etching Technology for Ultra-Smooth Laser Facets, Jpn. J. Appl. Phys. 41(6B),
4279 − 4282 (2002)
[673] G.L. Bona, P. Buchmann, R. Clauberg, H. Jaeckel, P. Vettiger, O. Voegeli, D.J. Webb: Beam
Properties of AlGaAs Power Lasers with High-Quality Etched Mirrors, IEEE Photon. Technol.
Lett. 3(5), 412 − 414 (1991)
[674] E. Deichsel, G. Franz: Fabrication of dry etched and subsequently passivated laser facets in
GaAs/AlGaAs, J. Vac. Sci. Technol. A 22(5), 2201 − 2205 (2004)
[675] G. Franz: Hydrogen in Dry Etching Processes, phys. stat. sol. (a) 159(1), 137 − 147 (1997)
[676] M.D. McCluskey, N.M. Johnson: Hydrogen in compound semiconductors, J. Vac. Sci. Technol.
A 17(4), 2188 − 2193 (1999)
[677] S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, C. Constantine, D. Johnson: Low Damage Dry
Etching of III-V Compound Semiconductors Using Electron Cyclotron Resonance Discharges,
Nucl. Instrum. Meth. Phys. Res. B 59/60, 1015 − 1018 (1991)
[678] R.J. Shul, M.L. Lovejoy, D.L. Hetherington, D.J. Rieger, G.A. Vawter, J.F. Klem, M.R. Melloch:
Investigation of plasma etch induced damage in compound semiconductor devices, J. Vac. Sci.
Technol. A 12(4), 1351 − 1355 (1994)
[679] R.J. Shul, L. Zhang, G. Baca, C.G. Willison, J. Han, S.J. Pearton, F. Ren: Inductively coupled
plasma-induced etch damage of GaN p-n-junctions, J. Vac. Sci. Technol. A 18(4), 1139 − 1143
(2000)
[680] J. Etrillard, J.F. Bresse, C. Daguet, M. Riet, J. Mba: Low damage dry etching of III/V materials
for heterojunction bipolar transistor applications using a chlorinated inductively coupled plasma,
J. Vac. Sci. Technol. A 17(4), 1174 − 1181 (1999)
[681] C.T. Gabriel, J.P. McVittie: How Plasma Etching Damages Thin Gate Oxides, Solid State Technol. 35(6), 81 − 87 (1992)
[682] C.T. Gabriel: Gate oxide damage: testing approaches and methodologies, J. Vac. Sci. Technol.
A 17(4), 1494 − 1500 (1999)
[683] G. Franz: Damage in III/V semiconductors caused by hard- and soft-etching plasmas, J. Vac.
Sci. Technol. A 19(3), 762 − 772 (2001)
[684] S.K. Gandhi, P. Kwan, K.N. Bhat, D.M. Borrego: Ion Beam Damage Effects During the Low
Energy Cleaning of GaAs, IEEE Electron. Dev. Lett. 3(2), 48 − 50 (1982)
[685] S.M. Sze: Physics of Semiconductor Devices, 2nd edn., (Wiley, New York, N.Y., U.S.A., 1981),
p. 403
[686] S.W. Pang, W.D. Goodhue, T.M. Lyszczarz, D.J. Ehrlich, R.B. Goodman, G.D. Johnson: Dry
etching induced damage on vertical sidewalls of GaAs channels, J. Vac. Sci. Technol. B 6(6),
1916 − 1920 (1988)
[687] S.W. Pang, M.W. Geis, N.N. Efremow, G.A. Lincoln: Effects of ion species and adsorbed gas on
dry etching induced damage in GaAs, J. Vac. Sci. Technol. B 3(1), 398 − 401 (1985)
[688] A. Katz: Indium Phosphide and Related Materials: Processing, Technology, and Devices, Artech
House, Boston/London, 1991, p. 263
[689] A. Katz: ibid, p. 257
[690] J. Neugebauer, C.G.v.d. Walle: Role of Hydrogen in Doping of GaN, Appl. Phys. Lett. 68(12),
1829 − 1831 (1996)
[691] B. Pajot, C. Song: OH-Bonds in Gallium Arsenide Grown by Liquid-Encapsulated Czochralski
Crystal-Growth Method, Phys. Rev. B 45(12), 6484 − 6491 (1992)
78
Literaturverzeichnis
[692] T.R. Hayes, W.C. Dautremont-Smith, H.S. Luftman, J.W. Lee: Passivation of Acceptors in InP
Resulting from CH4 /H2 Reactive Ion Etching, Appl. Phys. Lett. 55(1), 56 − 58 (1989)
[693] M. Moehrle: Hydrogen Passivation of Zn Acceptors in InGaAs During Reactive Ion Etching,
Appl. Phys. Lett. 56(6), 542 − 544 (1990)
[694] C. Constantine, D. Johnson, S.J. Pearton, U.K. Chakrabarti, A.B. Emerson, W.S. Hobson, A.P.
Kinsella: Plasma etching of III-V-semiconductors in CH4 /H2 /Ar electron cyclotron resonance
discharges, J. Vac. Sci. Technol. B 8, 596 − 606 (1990)
[695] K. Ukai, K. Hanazawa: End-Point Determination of Aluminum Reactive Ion Etching by Discharge
Impedance Monitoring, J. Vac. Sci. Technol. 16, 385 − 387 (1979)
[696] A.R. Heyd, R.W. Collins, K. Vedam, S.S. Bose, D.L. Miller: Monitoring Ion Etching of
GaAs/AlGaAs Heterostructures by Real Time Spectroscopic Ellipsometry: Determination of
Layer Thickness, Compositions, and Surface Temperature, Appl. Phys. Lett. 60(22), 2776−2778
(1992)
[697] G. Franz: Verbesserung des Endpunktdetektors für Optische Emissionsspektroskopie (OES),
German Patent Disclosure GR 97E1812 DE v. 13-08-1997
[698] G. Herzberg: Spectra of Diatomic Molecules, D. v. Nostrand Inc., Princeton, N.J., U.S.A., 1950
[699] W.R. Harshberger, R.A. Porter, T.A. Miller, P. Norton: A Study of the Optical Emission from
an RF Plasma during Semiconductor Etching, Appl. Spectrosc. 31(3), 201 − 207 (1977)
[700] G. Franz, C. Hoyler, J. Kaindl: Reactive Ion Etching GaAs and AlAs: Kinetics and Process
Monitoring, J. Vac. Sci. Technol. B 14(1), 126 − 131 (1996)
[701] P.H. Berning, in Physics of Thin Films: Advances in Research and Development, Vol. I, ed. by
G. Hass, Academic Press, New York, 1963, 69 − 120
[702] W. John, L. Weixelbaum, H. Wittrich, G. Frankowski, J. Würfl: CCD-Controlled in-situ Interfermotery for Novel Process Monitoring During Dry Etching, Solid State Technol. 44(6), 117 − 122
(2001)
[703] J. Tardy, J.M. Poiterin, G. Lemperiere: Glow Discharge Mass Spectrometry of Silicon DC Sputtering in Argon-Hydrogen, J. Phys. D: Appl. Phys. 14, 339 − 346 (1981)
[704] F. Shinoki, A. Itoh: Mass Spectrometric Analysis in RF Reactive Sputtering Discharge, Jpn. J.
Appl. Phys. Suppl. 2, Pt. 1, 505 − 508 (1974)
[705] B.F.T. Bolker, T.C. Tisone, T.S. Latos: Control system dynamics using glow discharge mass
spectroscopy for thin film sputtering, J. Vac. Sci. Technol. 18(2), 328 − 334 (1981)
[706] G. Janiak, U. Niggebrügge: private communication, Berlin, 1997
[707] S.C. McNevin: Rare gas ion-enhanced etching of InP by Cl2 , J. Vac. Sci. Technol. B 4(5),
1203 − 1215 (1986)
[708] T.J. Chuang: Electron Spectroscopy Study of Silicon Surfaces Exposed to XeF2 and the Chemisorption of SiF4 on Silicon, J. Appl. Phys. 51(5), 2614 − 2619 (1980)
[709] J.W. Coburn, H.F. Winters: Ion and Electron Assisted Gas-Surface Chemistry—an Important
Effect in Plasma Etching, J. Appl. Phys. 50, 3189 − 3196 (1979)
[710] H.F. Winters, J.W. Coburn: Plasma-assisted etching mechanisms: the implications of reaction
probability and halogen coverage, J. Vac. Sci. Technol. B 3(5), 1376 − 1383 (1985)
[711] T.M. Mayer, R.A. Barker, L.J. Whitman: Investigation of plasma etching mechanisms using
beams of reactive gas ions, J. Vac. Sci. Technol. 18(2), 349 − 352 (1981)
[712] A.F. Ward; Proc. Roy. Soc. London A 133, 506 (1931), cited in G.M. Barrow: Physikalische
Chemie, Bohmann-Verlag, Heidelberg, Wien, part 3, 1972, p. 328
[713] T.M. Mayer, R.A. Barker: Simulation of plasma-assisted etching processes by ion-beam techniques, J. Vac. Sci. Technol. 21(3), 757 − 763 (1982)
[714] T.M. Mayer, R.A. Barker: Reactive Ion Beam Etching with CF4 : Characterization of a Kaufman
Ion Source and Details of SiO2 Etching, J. Electrochem. Soc. 129(3), 585 − 591 (1982)
[715] D.C. Gray, I. Tepermeister, H.H. Sawin: Phenomenological modeling of ion-enhanced surface
kinetics in fluorine-based plasma etching, J. Vac. Sci. Technol. B 11(4), 1243 − 1257 (1993)
[716] R.J. Belen, S. Gomez, D. Cooperberg, M. Kiehlbauch, E.S. Aydil: Feature-scale model of Si
etching in SF6 /O2 plasma and comparison with experiments, J. Vac. Sci. Technol. A 23(5),
1430 − 1439 (2005)
Literaturverzeichnis
79
[717] Y.H. Lee, M.M. Chen: Silicon Etching Mechanism and Anisotropy in CF4 +O2 Plasma, J. Appl.
Phys. 54(10), 5966 − 5973 (1983)
[718] G. Franz: Reactive Ion Etching of III/V Semiconductors Using Carbon-Containing Gases—A
Comprehensive Statistical Approach, J. Electrochem. Soc. 137(9), 2896 − 2903 (1990)
[719] R.A.H. Heinecke: Plasma Etching of Films at High Rates, Solid State Technol. 21(4), 104 − 106
(1978)
[720] G.C. Schwartz, L.B. Rothman, T.J. Schopen: Competitive Mechanisms in Reactive Ion Etching
in a CF4 Plasma, J. Electrochem. Soc. 126(3), 464 − 469 (1979)
[721] D.L. Flamm: Measurements and Mechanisms of Etchant Production During the Plasma Oxidation
of CF4 and C2 F6 , Solid State Technol. 22(4), 109 − 116 (1979)
[722] T.D. Bestwick, G.S. Oehrlein: Reactive ion etching of silicon using bromine containing plasmas,
J. Vac. Sci. Technol. A 8(3), 1696 − 1701 (1990)
[723] C.J. Mogab, A.C. Adams, D.L. Flamm: Plasma Etching of Si and SiO2 —The Effect of Oxygen
Additions to CF4 Plasmas, J. Appl. Phys. 49(7), 3796 − 3803 (1978)
[724] D.L. Flamm, V.M. Donnelly, D.E. Ibbotson: Basic chemistry and mechanisms of plasma etching,
J. Vac. Sci. Technol. B 1(1), 23 − 30 (1983)
[725] U. Hetzler, E. Kay: Conduction Mechanisms in Plasma-Polymerized Tetrafluoro-ethylene Films,
J. Appl. Phys. 49(11), 5617 − 5623 (1978)
[726] M. Millard: Synthesis of Organic Polymer Films in Plasmas, Chapter 5 in Techniques and Applications of Plasma Chemistry, ed. by J.R. Hollahan and A.T. Bell, J. Wiley & Sons, New York,
N.Y., U.S.A., 1974
[727] J.W. Coburn, E. Kay: Some Chemical Aspects of the Fluorocarbon Plasma Etching of Silicon
and Its Compounds, IBM J. Res. Develop. 23(1), 33 − 41 (1979)
[728] L. Peccoud, P. Laporte, J. Arroyo, B. Charlet: New Trends and Limits in Plasma Etching, J.
Phys. D: Appl. Phys. 20, 851 − 857 (1987)
[729] J. Coburn, private communication, Berkeley, Calif., 1995
[730] J.J. Végh, D. Humbird, D.B. Graves: Silicon etch by fluorocarbons and argon plasmas in the
presence of fluorocarbon films, J. Vac. Sci. Technol. A 23(6), 1598 − 1604 (2005)
[731] K. Tsujimoto, S. Tachi, K. Ninomiya, K. Suzuki, S. Okudaira, S. Nishimatsu: A New Side Wall
Protection Technique in Microwave Plasma Etching Using a Chopping Method, Proc. 18th Int.
Conf. Solid State Devices A. Materials, Tokyo, 1986, Extended Abstracts pp. 229 − 232 (1986)
[732] I.W. Rangelow: High Resolution Tri-Level Process by Downstream-Microwave RF-Biased Etching,
SPIE Proc. 1392, 180 − 184 (1990)
[733] J.A. O’Neill, J. Singh, G.G. Grifford: In-situ infrared diagnostics of particle forming etch plasmas,
J. Vac. Sci. Technol. A 8(3), 1716 − 1721 (1990)
[734] C.J. Mogab, H.J. Levinstein: Anisotropic plasma etching of polysilicon, J. Vac. Sci. Technol.
17(3), 721 − 730 (1980)
[735] S. Samukawa: Degree of Cl2 dissociation and etching characteristics in high-density plasmas, J.
Vac. Sci. Technol. A 17(3), 774 − 779 (1999)
[736] Gmelins Handbuch der Anorganischen Chemie, 8th edn., Verlag Chemie, Berlin, 1936, Gallium,
System-Nr. 36, p. 76; Indium, System-Nr. 37, pp. 71 − 80
[737] Handbook of Chemistry and Physics, 56th edn., ed. by R.C. Weast, The Chemical Rubber Company, Cleveland, OH, pp. B-91, B-95, B-96 (1975)
[738] V.M. Donnelly, D.L. Flamm, G.J. Collins: Studies of Plasma Etching of III/V Semiconductors
Using in-situ Optical Diagnostic Techniques, Electrochem. Soc. Fall Meeting, Denver, Colo.,
U.S.A., Extended Abstracts 812, 621 − 624 (1981)
[739] D.L. Flamm, G.K. Herb: Plasma Etching Technology—an Overview, in Plasma Etching—an
Introduction, ed. by D.M. Manos and D.L. Flamm, Academic Press, Inc. Boston/San Diego/New
York, p. 41
[740] V.M. Donnelly, D.I. Flamm, D.E. Ibbotson: Plasma etching of III/V semiconductors, J. Vac. Sci.
Technol. A 1(2), 626 − 628 (1983)
[741] K. Takimoto, K. Ohnaka, J. Shibata: Reactive ion etching of InP with Br2 -containing gases to
produce smooth, vertical walls: Fabrication of etched-faceted lasers, Appl. Phys. Lett. 54(20),
1947 − 1949 (1989)
80
Literaturverzeichnis
[742] R.H. Burton, C.L. Hollien, L. Marchant, S.M. Abys, G. Smolinsky, R.A. Gottscho: Etching of
Gallium Arsenide and Indium Phosphide in RF Discharges through Mixtures of Trichlorofluoromethane and Oxygen, J. Electrochem. Soc. 129(7), 1599 − 1604 (1983)
[743] H.H. Sawin: A Review of Plasma Processing Fundamentals, Solid State Technol. 28(4), 211−216
(1985)
[744] Handbook of Chemistry and Physics, 51st edn., ed. by R.C. Weast, The Chemical Rubber Company, Cleveland, OH, p. F-177 (1971)
[745] R.H. Burton, G. Smolinsky: CCl4 and Cl2 Plasma Etching of III/V Semiconductors and the Role
of Added O2 , J. Electrochem. Soc. 129(7), 1599 − 1604 (1982)
[746] A. Popp, J.J Finley, private communication, Garching, 2006
[747] G. Scarpa, N. Ulbrich, A. Sigl, M. Bichler, D. Schuh, M.-C. Amann, G. Abstreiter: Improved
Large Optical Cavity Design for 10.6 µm (Al)GaAs Quantum Cascade Lasers, Physica E 13,
844 − 847 (2002)
[748] F. A. Cotton, G. Wilkinson: Anorganische Chemie, 3rd edn., Verlag Chemie, Weinheim/Bergstraße, 1974, p. 239 f.
[749] K. Tokunaga, F.C. Redeker, D.A. Danner, D.W. Hess: Comparison of Aluminum Etch Rates in
Carbon Tetrachloride and Boron Trichloride Plasmas, J. Electrochem. Soc. 128(4), 851 − 855
(1981)
[750] E.L. Hu, R.E. Howard: Reactive Ion Etching of GaAs and InP Using CCl2 F2 /Ar/O2 , Appl.
Phys. Lett. 37(11), 1022 − 1024 (1980)
[751] K.L. Seaward, N.J. Moll, D.J. Coulman: An Analytical Study of Etch and Etch-Stop Reactions
for GaAs on AlGaAs in CCl2 F2 Plasma, J. Appl. Phys. 61(6), 2358 − 2364 (1987)
[752] S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, A.P. Perley: Cl2 and SiCl4 Reactive Ion Etching
of In-Based III-V Semiconductors, J. Electrochem. Soc. 137(10), 3188 − 3202 (1990)
[753] J.W. Lee, B. Pathangey, M.R. Davidson, P.H. Holloway, E.S. Lambers, B. Davydov, T.J. Anderson, S.J. Pearton: Comparison of plasma chemistries for dry etching thin film electroluminescent
display materials, J. Vac. Sci. Technol. A 16(4), 2177 − 2186 (1998)
[754] R. Cheung, B. Rong, E.v.d. Drift, W.G. Sloof: Etch mechanism and etch-induced effects in the
inductively coupled plasma etching of GaN, J. Vac. Sci. Technol. B 21(4), 1268 − 1272 (2003)
[755] R.J. Shul, G.B. McClellan, S.A. Casalnuovo, D.J. Rieger, S.J. Pearton, C. Constantine,
C. Barrat, R.F. Karlicek, jr., C. Tran, M. Schurman: Inductively coupled plasma etching of GaN,
Appl. Phys. Lett. 69(8), 1119 − 1121 (1996)
[756] F.A. Khan, L. Zhou, A.T. Ping, I. Adesida: Inductively coupled plasma reactive ion etching of
Alx Ga1−x N for application in laser facet formation, J. Vac. Sci. Technol. B 17(6), 2750 − 2754
(1999)
[757] H.S. Kim, G.Y. Yeom, J.W. Lee, T.I. Kim: Characteristics of inductively coupled Cl2 /BCl3
plasmas during GaN etching, J. Vac. Sci. Technol. A 17(4), 2214 − 2219 (1999)
[758] H. Schmid: Plasma Mass Spectrometric Analysis and Control of Reactive Ion Etching of InP and
Related Compounds, IPAT Proc. 6, 98 − 102 (1987)
[759] R. v. Roijen, C.W.T. Bulle-Lieuwma, E.A. Montie: Formation and Damage of Sidewalls after
Cl2 /CH4 Based Reactive Ion Beam of InP, J. Vac. Sci. Technol. B 10(5), 2188 − 2191 (1992)
[760] G. Lefranc, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 174
[761] M.W. Kielbauch, D.B. Graves: Effect of neutral transport on etch product lifecycle, J. Vac. Sci.
Technol. 21(1), 116 − 125 (2003)
[762] M.W. Kielbauch, D.B. Graves: Inductively coupled plasmas in oxygen: Modeling and experiment,
J. Vac. Sci. Technol. 21(3), 660 − 670 (2003)
[763] A. Stamm: private communication, Munich, 1996
[764] V.M. Donnelly, D.L. Flamm, W.C. Dautremont-Smith, D.J. Werder: Anisotropic Etching of SiO2
in Low-Frequency CF4 /O2 and NF3 /Ar Plasmas, J. Appl. Phys. 55(1), 242 − 252 (1984)
[765] A. Fichelscher, I.W. Rangelow, A. Stamm: Influence of Sheath Properties on the Profile Evolution
in RIE Processes, SPIE 1392, Advanced Technologies for Integrated Circuit Processing, 77 − 83
(1990)
[766] J.I. Ulacia F., J.P. McVittie: A Two-Dimensional Computer Simulation for Dry Etching Using
Monte Carlo Techniques, J. Appl. Phys. 65(4), 1484 − 1491 (1989)
Literaturverzeichnis
81
[767] E.S.G. Shaqfeh, C.W. Jurgensen: Simulation of Reactive Ion Etching Pattern Transfer, J. Appl.
Phys. 66(10), 4664 − 4675 (1989)
[768] A. Fichelscher, I.W. Rangelow, R. Kassing: Simulation of Reactive Ion-Etching Processes Considering Sheath Dynamics, Mater. Sci. Eng. A 139, 412 − 417 (1991)
[769] I.W. Rangelow, P. Hudek, F. Shi: Bulk Micromachining of Si by Lithography and Reactive Ion
Etching, Vacuum 46, 1361 − 1369 (1995)
[770] I.W. Rangelow: Simulation of Plasma Etching and Deposition Processes for the MicrosystemTechnology, 3rd Mideuropean Symposium and Exhibition on Semiconductor Engineering and
Technology, SET‘92, Oct 12 − 14, 1992, Warschau, 75 − 78
[771] B.E. Volland, H. Heerlein, I. Kostic, I.W. Rangelow: The Application of Secondary Effects in High
Aspect Ratio Dry Etching for the Fabrication of MEMS, Microelectron. Eng. 57-58, 641 − 650
(2001)
[772] N. Anscombe: MEMS Are on the Move in Europe, Photon. Spectra (12), 66 − 76 (2003)
[773] I.W. Rangelow: Simulation von Trockenätz- und Zerstäubungsdepositionsprozessen für die
Mikrosystem-Technologie, VDI-Berichte, Nr. 960, Kongreßbericht Gerätetechnik und Mikrosystemtechnik, Band 2, Kongreß Chemnitz, Mar 16 − 18 1992, VDI Verlag, 749 − 754
[774] I.W. Rangelow: Entwicklung von Plasma-Ätzprozessen für die Herstellung von SiliciumSensormembranen, Forschungsvorhaben 750/73037684, Univ. Kassel, Jan 1987
[775] J. Daleiden, N. Citica, M. Strassner: Tunable InP-Based Microcavity Devices for Optical Communication Systems, Sensors Mater. 14(1), 35 − 45 (2002)
[776] R.C. Jernigan: Road Map for European Photonics Available, Photon. Spectra 34(3), 34 − 35
(2008)
[777] A.D. Tserepi, M.-E. Vlachopoulou, E. Gogolides: Nanotexturing of poly(dimethylsiloxane) in
plasmas for creating robust super-hydrophobic surfaces, Nanotechnology 17, 3977 − 3983 (2006)
[778] S. Ihrle, K. Schröder, A. Ohl: Stabilität plasmagestützt erzeugter hydrophiler Grenzschichten,
Proceedings 10. Neues Dresdner Vakuumtechnisches Kolloqium, Dresden, Oct 17 − 18 2002,
68 − 72 (2002)
[779] S. Günther: Plasmaaktivierte Verdampfung von Aluminium, Proceedings 10. Neues Dresdner
Vakuumtechnisches Kolloqium, Dresden, Oct 17 − 18 2002, 124 − 128 (2002)
[780] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, ed. by S. Flügge, Band 21,
Teil 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 404 − 444
[781] C.M. Ferreira, J. Loureiro: Electron energy distributions and excitation rates in high-frequency
argon discharges, J. Phys. D: Appl. Phys. 16 2471 − 2483 (1983)
[782] J.E. Heidenreich III, J.R. Paraszczak, M. Moisan, G. Sauve: Electron Energy Distributions in
Oxygen Microwave Plasmas, J. Vac. Sci. Technol. B 6(1), 288 − 292 (1988)
[783] B.E. Cherrington: Gaseous Electronics and Gas Lasers, Pergamon, New York, 1979, p. 68
[784] C.M. Ferreira, J. Loureiro: Characteristics of high-frequency and direct-current argon discharges
at low pressures: a comparative analysis, J. Phys. D: Appl. Phys. 17, 1175 − 1188 (1984)
[785] S.D. Rockwood: Elastic and Inelastic Cross Sections for Electron-Hg Scattering from Hg Transport Data, Phys. Rev. A 8(5), 2348 − 2358 (1973)
[786] R.J. Seeböck, W.E. Köhler: Temporal Intensity Modulation of Spectral Lines in a Low Frequency
Discharge in Argon, J. Appl. Phys. 64(8), 3855 − 3862 (1988)
[787] D. Bohm: Minimum Ion Kinetic Energy for a Stable Sheath, in The Characteristics of Electrical
Discharges in Magnetic Fields, ed. by A. Guthrie and R.K. Wakerling, McGraw Hill, New York,
NY, 1949, pp. 77 − 86
[788] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London, 2nd edn.,
1976, p. 249 ff.
[789] D. Bohm, E.H.S. Burhop, H.S.W. Massey: The Use of Probes for Plasma Exploration in Strong
Magnetic Fields, in The Characteristics of Electrical Discharges in Magnetic Fields, ed. by A.
Guthrie and R.K. Wakerling, McGraw Hill, New York, N.Y., U.S.A., 1949, 13 − 77
[790] D. Bohm, E.P. Gross: Theory of Plasma Oscillations. A. Origin of Medium-Like Behavior, Phys.
Rev. 75(12), 1851 − 1864 (1949)
[791] D. Bohm, E.P. Gross: Effects of Plasma Bounderies in Plasma Oscillations, Phys. Rev. 79(6),
992 − 1001 (1950)
82
Literaturverzeichnis
[792] D. Bohm, E.P. Gross: Theory of Plasma Oscillations. B. Excitations and Damping of Oscillations,
Phys. Rev. 75(12), 1864 − 1876 (1949)
[793] P.J. Barrett, H.G. Jones, R.N. Franklin: Dispersion of Electron Plasma Waves, Plasma Phys. 10,
911 − 918 (1968)
[794] S.A. Cohen: An Introduction to Plasma Physics for Materials Processing, in Plasma Etching—an
Introduction, ed. by D.M. Manos and D.L. Flamm, Academic Press, Inc., Boston/San Diego/New
York, p. 215
[795] D. Pines: Elementary Excitations in Solids, W.A. Benjamin, New York/Amsterdam, 1964, p. 112
f.
[796] D. Pines: ibid, p. 147 f.
[797] M.J. Ziman: ibid, S. 154 ff.
[798] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London, 2nd edn.,
1976, Chapter 7
[799] J.D. Jackson: ibid, p. 340
[800] J.D. Jackson: ibid, p. 341
[801] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London, 2nd edn.,
1976, p. 239
[802] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley & Sons,
New York, NY, 1980, p. 130 f.
[803] C.A. Bletzinger, C.A. deJoseph, jr.: Structure of RF Parallel-Plate Discharges, IEEE Trans. Plasma
Sci. PS-14(2), 124 − 131 (1986)
[804] A. Fridman, L.A. Kennedy: Plasma Physics and Engineering, Taylor & Francis, New York and
London, 2004, Sect. 10.7, Eqs. (10.135) − (10.154), p. 187
[805] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, p. 103 ff.
[806] P.M. Meijer, W.J. Goodheer: Calculation of the Auto-Bias Voltage for RF Frequencies Well Above
the Ion-Plasma Frequency, IEEE Trans. Plasma Sci. PS-19(2), 170 − 175 (1991)
[807] W.J. Goedheer, P.M. Meijer: Kinetic Modeling of Positive Ions in a Low-Pressure RF Discharge,
IEEE Transact. Plasma Sci. PS-19(2), 245 − 249 (1991)
[808] M. Lieberman: private communication, 1991
[809] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls Church, Va.,
U.S.A., 1986, p. 112
[810] V.A. Godyak, A.S. Khanneh: Ion Bombardement Secondary Electron Maintenance of Steady RF
Discharge, IEEE Trans. Plasma Sci. PS-14(2), 112 − 123 (1986)
[811] M.A. Lieberman, S.E. Savas: Bias Voltages in Finite Length, Cylindrical and Coaxial RadioFrequency Discharges, J. Vac. Sci. Technol. A 8(3), 1632 − 1641 (1990)
[812] H.S. Butler, G.S. Kino: Plasma Sheath Formation by Radio-Frequency Fields, Phys. Fluids 6(9),
1346 − 1355 (1963)
[813] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S. Flügge, vol. 21,
part I, Gasentladungen I, Springer-Verlag, Berlin, 1956, p. 388
[814] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S. Flügge, vol. 21,
part 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 394
[815] R.P. Feynman, R.B. Leighton, M. Sands: The Feynman Lectures on Physics II, 7th printing,
Addison-Wesley Publishing Company, Menlo Park, London, Sydney, Manila, 1972, p. 32-8
[816] S.A. Cohen: ibid, p. 215
[817] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y., U.S.A., 1966,
p. 247
[818] W.P. Allis, S.C. Brown, E. Everhart: Electron Density Distribution in a High Frequency Discharge
in the Presence of Plasma Resonance, Phys. Rev. 84(3), 519 − 522 (1951)
[819] W.Z. Collison, T.Q. Ni, M.S. Barnes: Studies of the low-pressure inductively-coupled plasma
etching for a larger area wafer using plasma modeling and Langmuir probe, J. Vac. Sci. Technol.
16(1), 100 − 107 (1998)
[820] M.A. Heald, C.B. Wharton: ibid, p. 30
[821] M. Born: ibid, p. 260
Literaturverzeichnis
83
[822] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press, Cambridge,
Mass., U.S.A., 1963, p. 24
[823] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press, Cambridge,
Mass., U.S.A., 1963, p. 13
[824] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press, Cambridge,
Mass., U.S.A., 1963, p. 41
[825] R. Boswell: Dependence of Helicon Wave Radial Structure on Electron Inertia, Austr. J. Phys.
25, 403 − 407 (1972)
[826] R. Boswell: Effect of Boundary Conditions on Radial Mode Structure of Whistlers, J. Plasma
Phys. 31(2), 197 − 208 (1984)
[827] F.F. Chen: RF production of high density plasmas for accelerators, Laser Particle Beams 7(3),
551 − 559 (1989)
[828] F.F. Chen: Plasma Ionization by Helicon Waves, Plasma Phys. Contr. Fusion 33(4), 339 − 364
(1991)
[829] R.W. Boswell, F.F. Chen: Helicons — The Early Years, IEEE Trans. Plasma Sci. PS-25, 1229
(1997)
[830] F.F. Chen, R.W. Boswell: Helicons — The Past Decade, IEEE Trans. Plasma Sci. PS-25, 1245
(1997)
[831] R. Kippenhahn, C. Möllenhoff: Elementare Plasmaphysik, Bibliographisches Institut, Mannheim/Zürich, 1975, p. 102 ff.
[832] A.W. Trivelpiece, R.W. Gould: Space Charge Waves in Cylindrical Plasma Columns, J. Appl.
Phys. 30(3), 1784 − 1793 (1959)
[833] M.J. Ziman: ibid, p. 282 f.
[834] F.F. Chen, D. Arnush: Generalized theory of helicon waves. I. Normal modes, Phys. Plasmas
4(9), 3411 − 3421 (1997)
[835] B. Suhl, L.R. Walker: Topics in Guided-Wave Propagation through Gyromagnetic Media — Part
I: The Completely Filled Guide, Bell System Techn. J. 33(5), 579 − 659 (1954), p. 658 f.
[836] A.A.T.M. v. Trier: Guided Electromagnetic Waves in Anisotropic Media, Appl. Sci. Res. 3B,
305 − 371 (1953), p. 337
[837] J. v. Bladel: Electromagnetic Fields, Hemisphere Publ. Corp., Washington/New York/London,
1985, p. 450 ff.
[838] H. Oechsner: Electron Cyclotron Wave Resonances and Power Absorption Effects in Electrodeless
Low Pressure H.F. Plasmas with a Superimposed Static Magnetic Field, Plasma Phys. 16, 835
(1974)
[839] H. Oechsner: Resonant Plasma Excitation by Electron Cyclotron Waves—Fundamentals and
Applications, in Plasma Processing of Semiconductors, ed. by P.F. Williams, Kluwer Academic
Publishers, 1997, pp. 157 − 180
[840] G.N. Watson: A Treatise on the Theory of Bessel Functions, Cambridge University Press, Cambridge, England, 1958, p. 201
[841] G.N. Watson: ibid, p. 199
[842] R.L. Ferrari, J.P. Klozenberg: The Dispersion and Attenuation of Helicon Waves in a Cylindrical
Plasma-Filled Wave-Guide, J. Plasma Phys. 2(2), 283 − 289 (1968)
[843] D. Arnush: The role of Trivelpiece-Gould waves in antenna coupling to helicon waves, Phys.
Plasmas 7(7), 3042 − 3050 (2000)