מבנה מחשבים ספרתיים

Transcription

מבנה מחשבים ספרתיים
ä'âðå÷ ìàëéî
úåëøòî
íéáùçî åø÷éî
2012 ø á î è ô ñ Y ä é ð ù ä ø å ã ä î
052 ÿ 2671210
email:
[email protected]
= (CJKP KNB) [ZG[ \BXGF
web:
http://www.shoresh1.co.il
‫תוכן העניינים‬
‫נושא‬
‫עמוד‬
‫הקדמה ‪1 ..................................................................‬‬
‫כיצד הספר מאורגן ‪2 ............................................................‬‬
‫פרק ‪ :1‬מבוא להכרת המחשב ‪5 .............................................‬‬
‫מבנה בסיסי של מחשב ‪5 .......................................................‬‬
‫ההיסטוריה של המיקרופרוססורים של חברת אינטל ‪9 ............‬‬
‫סוגי נתונים במערכות מיקרו‪-‬מחשבים‪10 .................................‬‬
‫פרק ‪ :2‬זיכרונות ‪13 .......................................................‬‬
‫תיאור ‪ 8 – LATCH 74LS373‬ביט ‪....................................‬‬
‫תיאור המפענח ‪........................................................ 74139‬‬
‫תיאור המפענח ‪........................................................ 74138‬‬
‫מבנה עקרוני של זיכרון ליניארי ‪..........................................‬‬
‫שיטת המטריצה ‪ -‬מעגלי זיכרון בסדר גודל גדול ‪...................‬‬
‫תהליך כתיבה לזיכרון ‪........................................................‬‬
‫תהליך קריאה מהזיכרון ‪....................................................‬‬
‫סוגי זיכרונות ‪....................................................................‬‬
‫‪ .1‬משפחת ה‪........... (Random Access Memory( RAM -‬‬
‫‪ 1.1‬זיכרון ‪ RAM‬סטטי (‪............. )SRAM - Static Ram‬‬
‫‪ 1.2‬זיכרון ‪ RAM‬דינמי (‪........ )DRAM - Dynamic Ram‬‬
‫‪ .2‬משפחת ה‪..................... )Read Only Memory( ROM-‬‬
‫‪........................................................ MASK ROM 2.1‬‬
‫‪............................. (Programmable ROM) PROM 2.2‬‬
‫‪................................. )Erasable PROM( EPROM 2.3‬‬
‫‪.......................... (Electrically EPROM) E2PROM‬‬
‫תרגילים ‪...........................................................................‬‬
‫‪ PROM‬כמפענח כתובות ‪....................................................‬‬
‫פרק ‪ :3‬ארגון הזיכרון ב‪............................. 8088/8086-‬‬
‫סגמנטציה ‪.........................................................................‬‬
‫ארגון הזיכרון החיצוני ‪........................................................‬‬
‫ארגון הזיכרון ב‪......................................................... 8088-‬‬
‫ארגון הזיכרון ב‪......................................................... 8086-‬‬
‫פניה לזיכרון חיצוני – ‪ 8‬ביט ‪...........................................‬‬
‫פנייה לכתובת זוגית – ‪ 16‬ביט ‪.........................................‬‬
‫פנייה לכתובת אי‪-‬זוגית – ‪ 16‬ביט ‪....................................‬‬
‫‪15‬‬
‫‪17‬‬
‫‪18‬‬
‫‪19‬‬
‫‪27‬‬
‫‪31‬‬
‫‪33‬‬
‫‪34‬‬
‫‪34‬‬
‫‪34‬‬
‫‪35‬‬
‫‪36‬‬
‫‪36‬‬
‫‪37‬‬
‫‪37‬‬
‫‪37‬‬
‫‪41‬‬
‫‪48‬‬
‫‪81‬‬
‫‪81‬‬
‫‪83‬‬
‫‪90‬‬
‫‪91‬‬
‫‪93‬‬
‫‪94‬‬
‫‪95‬‬
‫פרק ‪ :4‬מיקרופרוססורים ‪99 ............................ 8088/8086‬‬
‫המבנה הפנימי של ה‪.................................................. 8086-‬‬
‫המבנה הפנימי של ה‪.................................................. 8088-‬‬
‫רגיסטרים פנימיים ב‪............................................. 8086-‬‬
‫רגיסטר הדגלים ‪............................................................‬‬
‫תיאור הפינים של ה‪........................................... 8088/8086-‬‬
‫תיאור הנועל ‪............................... 8282 - OCTAL LATCH‬‬
‫תיאור הרכיב ‪...... 8286 - OCTAL BUS TRANSCEIVER‬‬
‫חיבור בקר פסיקות למעבד ‪..................................................‬‬
‫טבלת וקטורי הפסיקה ‪..................................................‬‬
‫תרגיל ‪..........................................................................‬‬
‫תרגיל חיבור זיכרון והתקני ‪ I/O‬למעבדים ‪ 8086‬ו‪......... 8088-‬‬
‫‪99‬‬
‫‪100‬‬
‫‪102‬‬
‫‪104‬‬
‫‪110‬‬
‫‪117‬‬
‫‪118‬‬
‫‪121‬‬
‫‪123‬‬
‫‪125‬‬
‫‪135‬‬
‫פרק ‪ :5‬מיקרו‪-‬בקר ‪145 ............................................ 8051‬‬
‫תיאור כללי של ה‪...................................................... 8051-‬‬
‫המבנה הפנימי של ה‪ - 8051-‬סכמת מלבנים ‪..........................‬‬
‫הסבר על המבנה הפנימי של ה‪.................................... 8051-‬‬
‫תיאור זיכרון פנימי ‪.................Internal Data Memory -‬‬
‫תיאור הרגיסטרים המיוחדים– ‪Special Function Register‬‬
‫תיאור הרגיסטרים הפנימיים ב‪.............................. 8051-‬‬
‫תיאור הפינים של ה‪................................................... 8051-‬‬
‫תרגיל ‪...............................................................................‬‬
‫אוצר הפקודות של ה‪................................................. 8051-‬‬
‫פסיקות ‪............................................................................‬‬
‫רגיסטר ‪..................................... Interrupt Enable - IE‬‬
‫רגיסטר ‪.................................... Interrupt Priority - IP‬‬
‫רגיסטר ‪................................. Timer Control – TCON‬‬
‫תרגילים ‪......................................................................‬‬
‫מונים ‪...............................................................................‬‬
‫רגיסטר ‪................................... Timer Mode – TMOD‬‬
‫רגיסטר ‪................................. Timer Control – TCON‬‬
‫תרגילים ‪......................................................................‬‬
‫תקשורת טורית ‪.................................................................‬‬
‫פרוטוקול תקשורת טורית אסינכרונית ‪............................‬‬
‫‪ UART‬ב‪............................................................ 8051-‬‬
‫רגיסטר ‪.................................. Serial Control – SCON‬‬
‫תרגילים ‪......................................................................‬‬
‫תקשורת ‪......................................................... RS-232‬‬
‫‪147‬‬
‫‪149‬‬
‫‪150‬‬
‫‪150‬‬
‫‪154‬‬
‫‪155‬‬
‫‪164‬‬
‫‪176‬‬
‫‪179‬‬
‫‪189‬‬
‫‪195‬‬
‫‪197‬‬
‫‪199‬‬
‫‪201‬‬
‫‪203‬‬
‫‪205‬‬
‫‪210‬‬
‫‪211‬‬
‫‪216‬‬
‫‪216‬‬
‫‪219‬‬
‫‪221‬‬
‫‪223‬‬
‫‪226‬‬
‫פרק ‪ :6‬התקני קלט פלט ‪229 ............................................‬‬
‫‪.......................................... LED - Light Emitting Diode‬‬
‫חיבור ‪ LEDS 8‬דרך פורט מוצא ‪.....................................‬‬
‫מפסקים (‪............................................................. )Switch‬‬
‫ביטול ריטוט מפסק ‪.......................................................‬‬
‫חיבור ‪ 8‬מפסקים דרך פורט מבוא מסוג ‪................. 74373‬‬
‫תרגילים ‪...........................................................................‬‬
‫מערכת רמזורים ‪...........................................................‬‬
‫פתרון באמצעות המעבד ‪.................................... 8086‬‬
‫פתרון באמצעות המעבד ‪.................................... 8051‬‬
‫בדיקת שערי ‪.................................................... NAND‬‬
‫פתרון באמצעות המעבד ‪.................................... 8086‬‬
‫פתרון באמצעות המעבד ‪.................................... 8051‬‬
‫תצוגת ‪........................................................... 7-Segments‬‬
‫‪ .1‬חיבור ‪ 7’S‬בשיטת ‪...................... Non-Multiplexed‬‬
‫‪ 1.1‬חיבור ‪ 7'S‬דרך פורט מוצא ‪......... 74373 – Latch‬‬
‫‪ 1.2‬חיבור ‪ 7'S‬דרך מפענח ‪ BCD‬ל‪..................... 7'S-‬‬
‫‪ .2‬חיבור ‪ 7’S‬בשיטת ‪.............................. Multiplexed‬‬
‫‪ 2.1‬חיבור ‪ 7’S‬דרך פורט מוצא ‪........ 74373 – Latch‬‬
‫‪ 2.2‬חיבור ‪ 7’S‬דרך מפענח ‪ BCD‬ל‪.................... 7'S-‬‬
‫רכיבי תצוגה נוספים ‪..........................................................‬‬
‫תצוגת ‪..................................... LCD – Liquid Cristal Display‬‬
‫מטריצת לוח מקשים ‪..........................................................‬‬
‫חיבור מטריצת לוח מקשים למערכת דרך פורטים ‪............‬‬
‫תרשים זרימה לזיהוי מקש לחוץ ‪................................‬‬
‫סברוטינה לזיהוי מקש לחוץ באמצעות המעבד ‪.... 8086‬‬
‫סברוטינה לזיהוי מקש לחוץ באמצעות המעבד ‪.... 8051‬‬
‫רכיב ‪ –74C922‬חיבור מטריצת מקשים בגודל ‪............ 4*4‬‬
‫פרק ‪ :7‬רכיבי המרה מאנלוגי לדיגיטלי ומדיגיטלי לאנלוגי‬
‫‪230‬‬
‫‪231‬‬
‫‪232‬‬
‫‪232‬‬
‫‪234‬‬
‫‪236‬‬
‫‪236‬‬
‫‪236‬‬
‫‪241‬‬
‫‪244‬‬
‫‪247‬‬
‫‪251‬‬
‫‪255‬‬
‫‪256‬‬
‫‪256‬‬
‫‪259‬‬
‫‪262‬‬
‫‪262‬‬
‫‪265‬‬
‫‪267‬‬
‫‪268‬‬
‫‪275‬‬
‫‪276‬‬
‫‪278‬‬
‫‪280‬‬
‫‪281‬‬
‫‪282‬‬
‫‪285‬‬
‫זמן המרה‪ ,‬מתח עבודה‪ ,‬רזולוציה ‪286 ........................................‬‬
‫רכיבי המרה מאנלוגי לדיגיטלי ‪290 .......................... ADC0801‬‬
‫תרגיל המבוסס על המעבד ‪291 ..................................... 8086‬‬
‫תרגיל המבוסס על המעבד ‪299 ..................................... 8051‬‬
‫רכיבי המרה מדיגיטלי לאנלוגי ‪303 .......................... DAC0800‬‬
‫תרגיל המבוסס על המעבד ‪303 ..................................... 8086‬‬
‫תרגילים המבוססים על המעבד ‪306 ............................. 8051‬‬
‫פרק ‪ :8‬מונה מתוכנת ‪311 ....................................... 8254 -‬‬
‫מבנה פנימי של הרכיב ‪ - 8254‬סכמת מלבנים ‪........................‬‬
‫תיאור הפינים של הרכיב ‪.....................................................‬‬
‫תיאור מילת הבקרה ‪...........................................................‬‬
‫קריאת ערך המונה ‪.............................................................‬‬
‫תיאור מילת הבקרה לקריאת המונה ‪...............................‬‬
‫תרגיל – שימוש במונה כסופר אירועים ‪.....................‬‬
‫צורת העבודה של המונים ‪...................................................‬‬
‫‪...................... Interrupt or Terminal Count - Mode 0‬‬
‫תרגיל ‪...................................................................‬‬
‫‪........... Hardware Retrigger able One-Shot - Mode 1‬‬
‫‪ - Mode 2‬מחולל תדר ‪...................................................‬‬
‫‪.............................................. Square Wave - Mode 3‬‬
‫תרגיל ‪...................................................................‬‬
‫‪........................ Software Triggered Strobe - Mode 4‬‬
‫‪...................... Hardware Triggered Strobe - Mode 5‬‬
‫שימושים של המונה במחשב האישי ‪.....................................‬‬
‫תרגילים ‪...........................................................................‬‬
‫‪312‬‬
‫‪313‬‬
‫‪315‬‬
‫‪317‬‬
‫‪318‬‬
‫‪318‬‬
‫‪321‬‬
‫‪321‬‬
‫‪323‬‬
‫‪324‬‬
‫‪324‬‬
‫‪327‬‬
‫‪328‬‬
‫‪331‬‬
‫‪331‬‬
‫‪335‬‬
‫‪336‬‬
‫פרק ‪ :9‬בקר קלט פלט ‪353 ...................................... 8255 -‬‬
‫מבנה פנימי של הרכיב ‪ – 8255‬סכמת מלבנים ‪........................‬‬
‫תיאור הפינים של הרכיב ‪.....................................................‬‬
‫מילת בקרה (‪ )Control Word‬לקביעת אופן פעולת הרכיב ‪......‬‬
‫מילת בקרה ‪........................................... Set/Reset Port C‬‬
‫תרגילים ‪...........................................................................‬‬
‫מערכת לבדיקת ‪ Bus‬באמצעות המעבד ‪................... 8086‬‬
‫חיבור רכיב המרה ‪ DtoA‬באמצעות המעבד ‪.............. 8086‬‬
‫בדיקת רכיב מסוג ‪ 7400‬באמצעות המעבד ‪................ 8051‬‬
‫חיבור רכיב המרה ‪ DtoA‬באמצעות המעבד ‪.............. 8051‬‬
‫חיבור רכיב המרה ‪ AtoD‬באמצעות המעבד ‪.............. 8051‬‬
‫חיבור לוח מקשים בגודל ‪ ,4*4‬חיישנים ונוריות ל‪.... 8051-‬‬
‫פעולת הרכיב ‪ 8255‬ב‪........................................... Mode 1-‬‬
‫התקן ‪ - Input‬ערוץ המוגדר כמבוא ‪.................................‬‬
‫התקן ‪ - Output‬ערוץ המוגדר כמוצא ‪..............................‬‬
‫פעולת הרכיב ‪ 8255‬ב‪............................................ Mode 2-‬‬
‫מילת הבקרה עבור ‪........................................... Mode 2‬‬
‫‪354‬‬
‫‪355‬‬
‫‪358‬‬
‫‪359‬‬
‫‪362‬‬
‫‪362‬‬
‫‪373‬‬
‫‪376‬‬
‫‪383‬‬
‫‪386‬‬
‫‪392‬‬
‫‪396‬‬
‫‪397‬‬
‫‪400‬‬
‫‪404‬‬
‫‪405‬‬
‫פרק ‪ :10‬בקר פסיקות ‪407 ...................................... 8259 -‬‬
‫פנייה להתקן ‪ I/O‬באמצעות ‪( Polling‬שאילתא) ‪....................‬‬
‫פנייה להתקן ‪ I/O‬באמצעות ‪( Interrupt‬פסיקה) ‪....................‬‬
‫מבנה פנימי של הרכיב ‪ – 8259‬סכמת מלבנים ‪........................‬‬
‫תיאור הפינים של הרכיב ‪.....................................................‬‬
‫הסבר על צורת העבודה של הרכיב ‪.......................................‬‬
‫תהליך קבלת פסיקה ‪..........................................................‬‬
‫תכנות הרכיב ‪.....................................................................‬‬
‫מילת בקרה ‪..................................................... ICW1 -‬‬
‫מילת בקרה ‪..................................................... ICW2 -‬‬
‫מילת בקרה ‪..................................................... ICW3 -‬‬
‫מילת בקרה ‪..................................................... ICW4 -‬‬
‫מילות בקרה להפעלת הרכיב ‪................................. OCWs -‬‬
‫מילת בקרה ‪...................................................... OCW1‬‬
‫מילת בקרה ‪...................................................... OCW2‬‬
‫פקודת ‪............................. EOI – End of Interrupt‬‬
‫עדיפויות זהות ‪.................... Automatic Rotation -‬‬
‫שינוי סדר עדיפויות ‪.................. Specific Priority -‬‬
‫מילת בקרה ‪...................................................... OCW3‬‬
‫קריאת רגיסטר ‪.............................................. IRR‬‬
‫קריאת רגיסטר ‪............................................... ISR‬‬
‫‪.................................................... Poll Command‬‬
‫‪................................................................ Cascade Mode‬‬
‫שימושים של בקרי הפסיקות במחשב האישי ‪.........................‬‬
‫‪407‬‬
‫‪408‬‬
‫‪409‬‬
‫‪410‬‬
‫‪411‬‬
‫‪412‬‬
‫‪413‬‬
‫‪415‬‬
‫‪416‬‬
‫‪418‬‬
‫‪419‬‬
‫‪422‬‬
‫‪422‬‬
‫‪423‬‬
‫‪424‬‬
‫‪426‬‬
‫‪427‬‬
‫‪428‬‬
‫‪429‬‬
‫‪429‬‬
‫‪430‬‬
‫‪433‬‬
‫‪437‬‬
‫פרק ‪ :11‬תקשורת טורית ‪439 ................................... 8251-‬‬
‫פרוטוקול להעברת נתונים בתקשורת טורית אסינכרונית ‪........‬‬
‫‪ - 8251‬בקר תקשורת טורית – סכמת מלבנים ‪........................‬‬
‫תיאור הפינים של הרכיב ‪.....................................................‬‬
‫יחידת השידור ‪............................. Transmitter Buffer -‬‬
‫יחידת הקליטה ‪................................ Receiver Buffer -‬‬
‫אתחול הרכיב ‪....................................................................‬‬
‫‪....................................................... Mode Instruction‬‬
‫‪......................................... Command Instruction‬‬
‫‪................................................... Status Read Format‬‬
‫‪440‬‬
‫‪444‬‬
‫‪445‬‬
‫‪448‬‬
‫‪449‬‬
‫‪453‬‬
‫‪454‬‬
‫‪458‬‬
‫‪460‬‬
‫תרגילים ‪462 ...........................................................................‬‬
‫שידור נתונים טוריים באמצעות המעבד ‪462 .................. 8086‬‬
‫קליטת נתונים טוריים באמצעות המעבד ‪469 ................. 8086‬‬
‫שידור נתונים טוריים באמצעות המעבד ‪472 .................. 8051‬‬
‫קליטת נתונים טוריים באמצעות המעבד ‪477 ................. 8051‬‬
‫תרגילים נוספים ‪480 ...........................................................‬‬
‫תקשורת טורית – פרוטוקול ‪483 .................................... RS232‬‬
‫תיאור הרכיב ‪ DS232A‬של חברת ‪485 ........................ Dallas‬‬
‫רכיב ‪487 .................. RS-232 Line Driver – MAX 1488E‬‬
‫רכיב ‪487 ............... RS-232 Line Receiver – MAX 1489E‬‬
‫תרגיל – תקשורת טורית בין המעבדים ‪ 8086‬ו‪489 ...............8051-‬‬
‫פרק ‪ :12‬תקשורת טורית סינכרונית ‪495 ..............................‬‬
‫פרוטוקול ‪495 ................................................................. PS/2‬‬
‫פרוטוקול ‪502 ................................................................... I2C‬‬
‫פרוטוקול ‪513 ................................................................... SPI‬‬
‫פרוטוקול ‪519 ............................................................. 1-Wire‬‬
‫פרק ‪ :13‬תרגילי סיכום ‪525 ..............................................‬‬
‫תרגיל מסכם – מערכת אעזקה באמצעות המעבדים ‪525 .. 8086/88‬‬
‫תרגיל מסכם – מערכת אעזקה באמצעות המעבד ‪545 .......... 8051‬‬
‫הקדמה‬
‫הספר מסביר את המבנה והמרכיבים של מחשבים ושל מערכות מיקרו‪-‬מחשבים על כל‬
‫יחידותיהם‪ .‬הספר מביא את הקורא להבנה ורמת ידע גבוהה בנושא מערכות מיקרו‪-‬‬
‫מחשבים המבוססות על המעבדים ‪ 1001‬ו‪ ,1011/1018 -‬כך שבסיום הלמידה‪ ,‬יוכל הקורא‬
‫לתכנן ולבנות מערכות מיקרו‪-‬מחשבים‪ .‬הספר מקנה כלים להבנה רחבה של הארכיטקטורה‬
‫של מערכות מיקרו‪-‬מחשבים כך שהקורא יוכל אחר כך ללמוד בצורה עצמאית‬
‫מיקרופרוססורים ורכיבי ‪ I/O‬נוספים‪.‬‬
‫הספר מכיל את כל נושאי הלימוד במקצוע מיקרו‪-‬מחשבים ומתמקד במעבדים ‪ 1001‬ו‪-‬‬
‫‪ ,1011/1018‬ברכיבים הפריפריאליים של חברת אינטל‪ :‬מונה מתוכנת (‪ ,)1208‬בקר קלט‪/‬פלט‬
‫(‪ ,)1200‬בקר פסיקות (‪ ,)1208‬ובקר תקשורת טורית (‪ ,)1201‬תכנון ובניית זיכרונות‪ ,‬התקני‬
‫קלט פלט בסיסיים כגון‪ :‬לחצנים‪ ,‬לוחות מקשים‪ ,‬רכיבי תצוגה‪ :‬נוריות‪,7-Segments ,‬‬
‫ו‪ ,LCD-‬רכיבי המרה ועוד‪ ,‬ותקשורת טורית סינכרונית‪.‬‬
‫הספר כולל הסברים תיאורטיים על נושאים שונים וכן תרגול רב בכדי לסייע בהבנת‬
‫החומר‪ .‬התרגילים כוללים פתרונות מלאים ובהם מפורטים שיקולי התכנון‪ ,‬שיקולי בחירת‬
‫הרכיבים‪ ,‬שרטוטי המערכות‪ ,‬וכן את תוכנת ההפעלה של המערכות בשפת האסמבלר ‪1001‬‬
‫ו‪.1018-‬‬
‫הספר מתאים למגוון רחב של קורסים וקהלי יעד‪.‬‬
‫פרקי הספר בנויים כיחידות לימוד‪ ,‬כאשר כל פרק מתמקד בנושא מסוים‪ ,‬ולכן הספר‬
‫אידיאלי כספר ללימוד עצמי‪ .‬לסטודנטים ולמהנדסים העושים את צעדם הראשון בלימוד‬
‫מקצוע המיקרו‪-‬מחשבים מומלץ ללמוד את הספר בצורה כרונולוגית‪ .‬אולם‪ ,‬מכיוון שכל‬
‫פרק בספר הוא גם יחידה עצמאית‪ ,‬ניתן לקרוא וללמוד כל פרק בנפרד‪ ,‬מבלי לקרוא את‬
‫יתר פרקי הספר‪.‬‬
‫הספר מתאים לסטודנטים ולבתי ספר תיכוניים בתחומים של הנדסת אלקטרוניקה‬
‫ומחשבים‪ .‬הספר מתאים לתכניות הלימוד באוניברסיטאות‪ ,‬במכללות האקדמיות השונות‪,‬‬
‫ולתכנית הלימודים להכשרת הנדסאים‪ .‬כמו כן‪ ,‬למהנדסים והנדסאים שעובדים בתעשיית‬
‫ההייטק‪ .‬רצוי (אם כי לא חובה) לרענן לפני קריאת הספר את הידע בנושאים הבאים‪:‬‬
‫מערכות ספרתיות‪ ,‬אלגברה בוליאנית‪ ,‬ופקודות בסיסיות בשפת האסמבלר ‪ 1001‬ו‪.1018-‬‬
‫במהלך הכתיבה של ספר זה‪ ,‬ההסברים התיאורטיים‪ ,‬התרגול והפתרונות‪ ,‬התבסס המחבר‬
‫על ניסיונו הרב בעבודה המעשית בתעשיית ההייטק וכן בהוראת המקצועות‪ :‬מחשבים‬
‫ומיקרו‪-‬מחשבים‪ ,‬ושפת סף אסמבלר לתלמידים וסטודנטים בבית ספר להנדסאים‪.‬‬
‫דברי תודה‬
‫ברצוני להודות מקרב לב לעתליה זיו ולאוריין אדרי שקראו את הספר‪ ,‬הגהו והעירו הערות‬
‫רבות וחשובות‪.‬‬
‫‪1‬‬
‫כיצד הספר מאורגן‬
‫הספר מחולק לשתים עשרה פרקי לימוד ופרק לתרגילים מסכמים‪ .‬כל פרק לימוד מכיל‬
‫מושגים כלליים הקשורים לאותו נושא‪ ,‬הסבר תיאורטי‪ ,‬תיאור הרכיב‪ ,‬כיצד הרכיב מתחבר‬
‫ומתפקד במערכת מיקרו‪-‬מחשב‪ ,‬וכן מספר רב של תרגילים להמחשה והבהרת הנושא כולל‬
‫כתיבת תוכנה בשפת האסמבלר ‪ 1001‬ו‪ 1018-‬להפעלת הרכיב והמערכת כולה‪.‬‬
‫הפרק הראשון‪ :‬מבוא להכרת המחשב עוסק במבנה העקרוני של מחשב ספרתי ומיקרו‪-‬‬
‫מחשב על כל יחידותיו‪ .‬כמו כן‪ ,‬מתאר בקצרה את ההיסטוריה של המיקרופרוססורים‪,‬‬
‫וכולל חזרה על מספרים בבסיסים שונים שאיתם נעבוד בספר‪ :‬בינארי‪ ,‬עשרוני (‪,)BCD‬‬
‫הקסה דצימלי ו‪.ASCII-‬‬
‫הפרק השני‪ :‬זיכרונות מגדיר מושגים כלליים שקשורים לזיכרונות‪ ,‬מתאר סוגים שונים של‬
‫זיכרונות (משפחת ה‪ RAM-‬ומשפחת ה‪ )ROM-‬ותפקידיהם במערכות מיקרו‪-‬מחשבים‪,‬‬
‫תכנון ובניית זיכרונות חיצוניים‪.‬‬
‫הפרק השלישי‪ :‬ארגון הזיכרון ב‪ 88886888/-‬עוסק בארגון זיכרון חיצוני עבור המעבדים‬
‫‪ 1011‬ו‪ ,1018-‬חלוקה לבנקים במעבד ‪ 1018‬ופניה לזיכרון חיצוני בכתובת זוגית וכתובת אי‪-‬‬
‫זוגית‪ .‬כמו כן‪ ,‬מסביר את המושג סגמנטציה בזיכרון חיצוני‪ ,‬חישוב כתובת אמיתית (פיסית)‬
‫מכתובת לוגית‪ :‬רגיסטר סגמנט (‪ )Segment register‬וכתובת רגיסטר היסט ( ‪Offset‬‬
‫‪.)register‬‬
‫הפרק הרביעי‪ :‬מיקרופרוססורים ‪ 888/‬ו‪ 8888-‬מתאר את המעבדים ‪ 1018‬ו‪ 1011-‬של‬
‫חברת אינטל‪ .‬הפרק מתאר את המבנה הפנימי של המעבדים כולל רגיסטרים פנימיים‪,‬‬
‫תיאור הפינים של המעבדים‪ ,‬כיצד מקבלים את פס הכתובות (‪ ,)Address Bus‬פס הנתונים‬
‫(‪ )Data Bus‬ופס הבקרה (‪ )Control Bus‬וכן‪ ,‬כיצד המעבד פונה לזיכרונות והתקני ‪I/O‬‬
‫חיצוניים‪.‬‬
‫הפרק החמישי‪ :‬מיקרו‪-‬בקר ‪ 8815‬מתאר את המעבד ‪ 1001‬של חברת אינטל‪ .‬הפרק מתאר‬
‫את המבנה הפנימי של המעבד כולל רגיסטרים פנימיים (ארבעת הבנקים)‪ ,‬תיאור הזיכרון‬
‫‪ RAM‬הפנימי (‪ Internal Ram‬ו‪ )Special Function Register-‬תיאור הפינים של המעבד‪,‬‬
‫כיצד מקבלים את פס הכתובות (‪ ,)Address Bus‬פס הנתונים (‪ )Data Bus‬ופס הבקרה‬
‫(‪ )Control Bus‬וכן‪ ,‬כיצד המעבד פונה לזיכרונות והתקני ‪ I/O‬חיצוניים‪ .‬כמו כן‪ ,‬את מנגנון‬
‫הפסיקות‪ ,‬הפעלת המונים (‪ Timer 0‬ו‪ )Timer 1-‬ותקשורת טורית (‪ )UART‬במעבד‪.‬‬
‫הפרק השישי‪ :‬התקני קלט פלט מתאר התקני ‪ I/O‬בסיסיים כדוגמת‪ :‬נוריות (‪,)LEDS‬‬
‫מפסקים‪ ,‬יחידות ‪ ,LCD ,7-Segments‬לוחות מקשים ועוד‪ .‬הפרק מסביר כיצד מחברים‬
‫התקני ‪ I/O‬למערכות מיקרו‪-‬מחשבים באמצעות פורטים (‪ )Ports‬וכיצד המעבד פונה אל‬
‫התקני ה‪ I/O-‬לצורך כתיבת וקריאת נתונים‪ .‬הפרק כולל מספר תרגילים ופתרונם באמצעות‬
‫המעבדים ‪ 1001‬ו‪ 1018-‬בכדי לסייע בהבנת החומר‪.‬‬
‫‪2‬‬
‫הפרק השביעי‪ :‬רכיבי המרה מאנלוגי לדיגיטלי ומדיגיטלי לאנלוגי מתאר מושגים כלליים‬
‫שקשורים לרכיבי המרה כמו‪ :‬רזולוציית דגימה‪ ,‬זמן המרה‪ ,‬טעות מדידה ועוד‪ .‬כמו כן‪,‬‬
‫מסביר איך מחברים ועובדים עם רכיבי המרה ‪ A/D-Analog to Digital‬ו‪D/A-Digital to -‬‬
‫‪ Analog‬במערכות מיקרו‪-‬מחשבים (חיבור למעבדים ‪ 1001‬ו‪.)1018-‬‬
‫הפרק השמיני‪ :‬מונה מתוכנת – ‪ 8518‬מתאר את הרכיב הפריפריאלי ‪ 1208‬שהוא מונה‬
‫מתוכנת‪ .‬הפרק מסביר איך מחברים את המונה למערכת מיקרו‪-‬מחשב‪ ,‬חשיבותו של המונה‬
‫במערכות מיקרו‪-‬מחשבים ואת שימושיו השונים‪.‬‬
‫הפרק התשיעי‪ :‬בקר קלט פלט – ‪ 8511‬מתאר את בקר הקלט פלט מסוג ‪ .1200‬הפרק‬
‫מסביר איך מחברים את הבקר למערכת מיקרו‪-‬מחשב‪ ,‬ואיך התקני ‪ I/O‬מתחברים אליו‪.‬‬
‫כמו כן‪ ,‬מהי צורת עבודה של "לחיצת יד" (‪ )Hand-Shaking‬ועבודת הרכיב בתצורה זאת‪.‬‬
‫הפרק העשירי‪ :‬בקר פסיקות – ‪ 8518‬מתאר את בקר הפסיקות מסוג ‪ .1208‬הפרק מסביר‬
‫את ההבדלים בין חיבור התקני ‪ I/O‬בצורת שאילתא (‪ )Polling‬ובין חיבור בצורת פסיקה‬
‫(‪ .)Interrupt‬כמו כן‪ ,‬מתואר בהרחבה את תהליך בקשת פסיקה של התקן ‪ I/O‬מהמעבד דרך‬
‫הבקר‪ ,‬מהן אפשרויות העבודה השונות עם התקני ‪ :I/O‬רמת עדיפות שונה וקבועה לכל‬
‫התקן ‪ ,)Fully Nested Mode( I/O‬עדיפויות זהות לכל התקני ה‪,)Rotate Mode( I/O-‬‬
‫שינוי עדיפויות בתוכנה‪ ,‬מיסוך (לא לאפשר) ואפשור קבלת פסיקה מהתקן ‪ I/O‬מסוים ועוד‪.‬‬
‫הפרק האחד עשר‪ :‬תקשורת טורית – ‪ 8515‬מתאר מושגים כלליים שקשורים לתקשורת‬
‫טורית כמו‪ Full Duplex :‬ו‪ ,Half Duplex-‬חישוב קצב העברת נתונים טוריים ביחידות של‬
‫סיביות משודרות לשנייה (‪ )BPS-Bits Per Second‬ועוד‪ .‬הפרק מתאר בהרחבה את‬
‫פרוטוקול העברת נתונים בתקשורת טורית אסינכרונית באמצעות הרכיב לצורך שידור‬
‫וקליטת נתונים טוריים‪ .‬מהו פרוטוקול ‪ ,RS-232‬וכן כולל תיאור של מספר רכיבים‬
‫המשמשים לתקשורת טורית בתקן ‪.RS-232‬‬
‫הפרק השנים עשר‪ :‬תקשורת טורית סינכרונית מכיל הסברים על העברת נתונים טוריים‬
‫‪2‬‬
‫סינכרוניים בפרוטוקולים ‪ SPI ,I C ,PS/2‬ו‪ ,1-Wire-‬שימושם ויתרונם במערכות מיקרו‪-‬‬
‫מחשבים‪.‬‬
‫הפרק השלושה עשר‪ :‬תרגילי סיכום מכיל שני תרגילים מסכמים במערכות מיקרו‪-‬‬
‫מחשבים‪ :‬תרגיל אחד מבוסס על המעבדים ‪ 1011/1018‬והתרגיל השני על המעבד ‪ .1001‬שני‬
‫התרגילים כוללים תכנון ובניית מערכת המשמשת כמערכת אזעקה לבתים פרטיים ובתי‬
‫עסק קטנים‪ .‬התרגילים מסבירים את שיקולי התכנון של בחירת הרכיבים ואופן חיבורם‪,‬‬
‫שרטוט מלא של המערכת‪ ,‬וכן תוכנית המהווה את מערכת ההפעלה של המערכת‪.‬‬
‫אשמח לקבל כל התייחסות לספר‪ ,‬המלצות למהדורה הבאה‪ :‬מה כדאי להוסיף‪ ,‬מה צריך‬
‫להרחיב‪ ,‬טעויות בספר ועוד‪.‬‬
‫שלחו את התייחסותכם באמצעות ‪ e-mail‬ל‪[email protected]‬‬
‫אני מאחל לכול הקוראים קריאה נעימה ולימוד יעיל ופורה‪.‬‬
‫‪3‬‬

Similar documents