Annual Report 2010

Transcription

Annual Report 2010
Annual
Report
2010
J AH R E S B E R I C H T
2 0 1 0
–
AN N UA L
R E P O R T
2 0 1 0
Annual Report 2010
Annual
Repo r t
201 0
V or w ort
–
F ore w or d
Prof. Dr. Wolfgang Mehr
Die Mikroelektronik / Mikrosystemtechnik und Nanoelektronik haben eine herausragende Bedeutung für
zukünftige Innovationen in allen zentralen Lebensbereichen. Am IHP sind in den letzten Jahren wichtige Voraussetzungen geschaffen worden, um den sich daraus ergebenden Anforderungen an die Forschung effektiv und
erfolgreich gerecht zu werden.
Microelectronics / microsystem technology and nanoelectronics are of great importance for future innovations in all key areas of life. In recent years, major
foundations have been laid at the IHP to fulfill the
research demands arising therefrom in an effective
and successful manner.
Hauptzielstellung des IHP ist die Erforschung und prototypische Entwicklung von „System on Chip“-Lösungen
durch die Integration von elektronischen, photonischen
und mikromechanischen Elementen und deren Anwendung in innovativen Systemen.
The main objective of the IHP is to research and develop prototypical “systems on chip” solutions through
the integration of electronic, photonic and micro-mechanical elements and their application in innovative
systems.
Die dafür notwendigen Kompetenzen verschiedener Fachgebiete kooperieren eng miteinander. Die Technologie
schafft zusammen mit der Materialforschung durch die
gezielte Entwicklung spezifischer Module neue technologische Funktionalitäten, mit denen auf Schaltungs- und
Systemebene zusammen mit den zukünftigen Anwendern
zügig industriell relevante Prototypen entwickelt, in der
Pilotlinie des IHP realisiert und danach erprobt werden.
To achieve this, different areas of expertise cooperate closely with each other. Together with the materials research department, the technology creates
new technological functions through the targeted
development of specific modules, with which, on the
circuit and system level and together with the future
users, industrially relevant prototypes can be developed and manufactured in the pilot line of the IHP and
tested thereafter.
Das ist die Umsetzung des vertikalen Konzeptes des IHP,
der engen Kooperation verschiedener Kernkompetenzen,
das zusammen mit der institutseigenen Pilotlinie für
technologische Forschung und Prototypenfertigung eine
wertvolle Besonderheit des IHP ist.
This is the realization of the vertical concept of the
IHP, the close cooperation of different core competencies. Together with the Institute‘s own pilot line
for technological research and prototyping, it is a distinctive feature of the IHP.
Den langfristigen Rahmen für diese Arbeiten bieten die
Forschungsprogramme des Institutes, die Grundlagenforschung mit angewandter Forschung verbinden, so
wie das typisch für ein Leibniz-Institut ist. Dabei hat die
The long-term framework for this work is given by the
research programs of the institute, which combine
basic research with applied research, as is typical of
a Leibniz Institute. The close cooperation with uni-
An n ual
R e p or t
2 01 0
V or w ort
–
F ore w or d
enge Kooperation mit Universitäten und Hochschulen im
Rahmen gemeinsamer Labore eine herausragende Bedeutung.
versities and other higher education institutions is
hereby of outstanding importance.
Die konkrete Realisierung der Programme erfolgt durch
ein Projektportfolio, wodurch Innovationen für gesellschaftlich wichtige Anwendungsbereiche wie die
drahtlose und Breitband-Kommunikation, die Luft- und
Raumfahrt, die Biotechnologie und Medizin, die Automobilindustrie, die Sicherheitstechnik sowie die Industrieautomatisierung entstehen.
The actual realization of the programs is achieved
using a portfolio of projects, whereby innovations
for socially important application areas are created
such as wireless and broadband communications,
aerospace, biotechnology and medicine, automotive
industry, security and industrial automation.
Im vergangenen Jahr erreichte das IHP mit 12,5 Mio.
Euro einen neuen Höchstwert an Drittmitteleinnahmen.
Möglich wurde das unter anderem durch eine große
Anzahl durch das Bundesministerium für Bildung und
Forschung geförderter Projekte sowie zehn Projekte im
7. Forschungsrahmenprogramm der Europäischen Union.
Last year, the IHP reached a new high of external
funding with 12.5 million €. Among other reasons,
this was made possible by a large number of projects
funded by the Federal Ministry of Education and Research and ten projects in the 7th Research Framework Programme of the European Union.
Als neue technologische Module wurden SiGe Heterobipolartransistoren mit Grenzfrequenzen von 500 GHz
sowie integrierte RF-MEMS (Mikroelektromechanische
Systeme) als Schalter für Anwendungen bis zu 140 GHz
entwickelt. Damit sind Voraussetzungen für Systeme zur
ultraschnellen drahtlosen Datenübertragung bei Trägerfrequenzen bis in den Bereich von 300 GHz bzw. für Beamforming bei sehr hohen Frequenzen oder für extrem energiesparende Schaltungen geschaffen.
As examples for new technological modules, SiGe heterobipolar transistors with cutoff frequencies of 500
GHz as well as integrated RF-MEMS (microelectromechanical systems) were developed as switches for applications at up to 140 GHz. With this, preconditions
for ultra-fast wireless data transmission systems at
carrier frequencies in the range of 300 GHz or beamforming at very high frequencies resp. for extremely
energy-efficient circuits were created.
Mit dem vorliegenden Jahresbericht möchten wir Ihr Interesse an unseren Arbeiten wecken. Er gibt Ihnen einen
Überblick über unsere Ergebnisse des Jahres 2010 sowie
über unsere langfristigen Forschungsziele. Im Kapitel
„Ausgewählte Projekte“ finden Sie eine detaillierte Darstellung einiger Forschungsprojekte.
With this report, we would like to arouse your interest
in our work. It offers an overview of our results for the
year 2010 as well as our long-term research goals. In
the chapter “Selected Projects” you will find detailed
descriptions of some of our research projects.
An dieser Stelle möchten wir unseren Mitarbeiterinnen
und Mitarbeitern ganz herzlich für die engagierte Arbeit
im Jahr 2010 danken. Ebenso danken wir der Brandenburgischen Landesregierung und der Bundesregierung für
die außerordentliche Unterstützung unserer Arbeiten.
At this point we would like to thank our employees
very much for the dedicated work in 2010. We also
thank the Federal State Government of Brandenburg
and the Federal Government of Germany for the extraordinary support of our work.
Wolfgang Mehr
Wiss.-Techn. Geschäftsführer
Manfred Stöcker
Adm. Geschäftsführer
Annual
Repo r t
201 0
I N H A LT S V E R Z E I C H N I S
–
C ontents
Contents
An n ual
R e p or t
2 01 0
I nhalt S V E R Z E I C H N I S
–
C ontents
Vorwort
2
Foreword
Aufsichtsrat
6
Supervisory Board
Wissenschaftlicher Beirat
7
Scientific Advisory Board
Forschung des IHP
8
IHP‘s Research
Das Jahr 2010
16
Update 2010
Ausgewählte Projekte
30
Selected Projects
Gemeinsame Labore
74
Joint Labs
Zusammenarbeit und Partner
82
Collaboration and Partners
Gastwissenschaftler und Seminare
86
Guest Scientists and Seminars
Publikationen
90
Publications
Angebote und Leistungen
146
Deliverables and Services
Wegbeschreibung zum IHP
156
Directions to IHP
Annual
Repo r t
201 0
A ufsichtsrat
–
S upervisor y
B oar d
Aufsichtsrat
Supervisory Board
Konstanze Pistor
Vorsitzende
Ministerium für Wissenschaft, Forschung und Kultur
Land Brandenburg
Konstanze Pistor
Chair
Ministry of Science, Research and Culture
State of Brandenburg
RD Dr. Volkmar Dietz
Stellvertretender Vorsitzender
Bundesministerium für Bildung und Forschung
RD Dr. Volkmar Dietz
Deputy Chair
Federal Ministry of Education and Research
Dr.-Ing. Peter Draheim
Philips GmbH, Hamburg
Dr.-Ing. Peter Draheim
Philips GmbH, Hamburg
Dr. Gunter Fischer
IHP GmbH
Dr. Gunter Fischer
IHP GmbH
Prof. em. Dr. Helmut Gabriel
(bis 14. Oktober 2010)
Freie Universität Berlin
Prof. Helmut Gabriel
(until October 14, 2010)
Freie Universität Berlin
Dr. Christoph Kutter
Infineon Technologies AG
Neubiberg
Dr. Christoph Kutter
Infineon Technologies AG
Neubiberg
Dr. Harald Richter
IHP GmbH
Dr. Harald Richter
IHP GmbH
Prof. Dr. Ernst Sigmund
(bis 14. Oktober 2010)
Brandenburgische Technische Universität Cottbus
Prof. Ernst Sigmund
(until October 14, 2010)
Brandenburg University of Technology, Cottbus
Prof. Dr. Eicke Weber
(seit 14. Oktober 2010)
Fraunhofer ISE, Freiburg
Prof. Eicke Weber
(since October 14, 2010)
Fraunhofer ISE, Freiburg
An n ual
R e p or t
2 01 0
Wissenschaftlicher
B eirat
–
S cientific
A d visor y
B OA RD
Wissenschaftlicher Beirat
Scientific Advisory Board
Prof. Dr. Hermann G. Grimmeiss
Vorsitzender
Lund University, Schweden
Prof. Hermann G. Grimmeiss
Chair
Lund University, Sweden
Dr. Josef Winnerl
Stellvertretender Vorsitzender
Infineon Technologies AG, München
Dr. Josef Winnerl
Deputy Chair
Infineon Technologies AG, Munich
Dr. Volker Dudek
TELEFUNKEN Semiconductors GmbH & Co. KG
Heilbronn
Dr. Volker Dudek
TELEFUNKEN Semiconductors GmbH & Co. KG
Heilbronn
Prof. Dr. Ignaz Eisele
Fraunhofer IZM, München
Prof. Ignaz Eisele
Fraunhofer IZM, Munich
Prof. Dr. Lothar Frey
Fraunhofer IISB, Erlangen
Prof. Lothar Frey
Fraunhofer IISB, Erlangen
Prof. Dr. Michael Hoffmann
(bis 29. Januar 2010)
Universität Ulm
Prof. Michael Hoffmann
(until January 29, 2010)
University of Ulm
Prof. Dr. Hermann Rohling
(seit 1. Dezember 2010)
Technische Universität Hamburg-Harburg
Prof. Hermann Rohling
(since December 1, 2010)
Hamburg University of Technology
Prof. Dr. Jörg Weber
(seit 1. Februar 2010)
Technische Universität Dresden
Prof. Jörg Weber
(since February 1, 2010)
Dresden University of Technology
Prof. Dr. Robert Weigel
(seit 1. Februar 2010)
Friedrich-Alexander-Universität Erlangen-Nürnberg
Prof. Robert Weigel
(since February 1, 2010)
Friedrich-Alexander-University of Erlangen-Nuremberg
Leitung Management
Prof. Dr. Wolfgang Mehr
Wissenschaftlich-Technischer Geschäftsführer
Prof. Wolfgang Mehr
Scientific Director
Manfred Stöcker
Administrativer Geschäftsführer
Manfred Stöcker
Administrative Director
Annual
Repo r t
201 0
F orschung
d es
I H P
–
I H P ‘ s
R esearch
IHP‘s Research
An n ual
R e p or t
2 01 0
F orschung
d es
I H P
–
I H P ‘ s
R esearch
Forschung des IHP
IHP`s Research
Das IHP konzentriert sich auf die Erforschung und Entwicklung von Silizium-basierten Systemen, Höchstfrequenz-Schaltungen und -Technologien einschließlich
neuer Materialien. Es erarbeitet innovative Lösungen
für Anwendungsbereiche wie die drahtlose und Breitbandkommunikation, die Luft- und Raumfahrt, die Biotechnologie und Medizin, die Automobilindustrie, die
Sicherheitstechnik und die Industrieautomatisierung.
IHP is focused on the research and development of
silicon-based systems, high-frequency circuits and
technologies including new materials. It creates innovative solutions for application areas such as wireless and broadband communication, aerospace, biotechnology and medicine, the automotive industry,
security and industrial automation.
Das Institut arbeitet an den folgenden vier eng miteinander verbundenen Forschungsprogrammen:
The institute is working on the following four closely
connected research programs:
1.Drahtlose Systeme und Anwendungen,
2.Hochfrequenz-Schaltkreise,
3.Technologieplattform für drahtlose und
Breitbandkommunikation,
4.Materialien für die Mikro- und Nanoelektronik.
1.Wireless Systems and Applications,
2.RF Circuits,
3.Technology Platform for Wireless and Broadband Communication,
4.Materials for Micro- and Nanoelectronics.
Die Forschungsprogramme nutzen die besonderen Möglichkeiten des IHP. So verfügt das Institut über eine
Pilotlinie für technologische Forschungen und Entwicklungen sowie die Präparation von Prototypen und
Kleinserien. Eine weitere Besonderheit ist das vertikale
Forschungskonzept unter Nutzung der zusammenhängenden und aufeinander abgestimmten Kompetenzen
des Institutes auf den Gebieten Systementwicklung,
Schaltungsentwurf, Technologie und Materialforschung.
The research programs make use of the special opportunities provided by the IHP. For instance, the institute has a pilot line for technological research and
developments as well as for manufacturing prototypes
and small series. An additional feature is the vertical
research concept employing the associated and harmonized expertise of the institute in the fields of
system development, circuit design, technology, and
materials research.
Die Forschung des IHP setzt auf die typischen Stärken
eines Leibniz-Institutes: Sie ist charakterisiert durch eine
langfristige, komplexe Arbeit, die Grundlagenforschung
mit anwendungsorientierter Forschung verbindet.
The research of the IHP is based on the typical
strengths of a Leibniz Institute: it is dominated by
long-term, complex efforts which connect basic research with application-oriented research.
Die Realisierung der Forschungsprogramme erfolgt mit
Hilfe eines regelmäßig aktualisierten Portfolios von Projekten auf Basis einer mittelfristigen Roadmap. Die Aktualisierung geschieht aufgrund inhaltlicher Erfordernisse sowie der Möglichkeiten für Kooperationen und
Finanzierung. Drittmittelprojekte werden im Einklang
mit den strategischen Zielen des IHP eingeworben.
The realization of the research programs is accomplished utilizing a project portfolio based on a
medium-term roadmap. The project portfolio is regularly updated according to content requirements as
well as through opportunities for cooperations and
outside funding. Grant projects are acquired in accordance with the strategic goals of IHP.
Annual
Repo r t
201 0
F orschung
10
d es
I H P
–
I H P ‘ s
R esearch
Die Forschungsprogramme des IHP verfolgen die folgenden wesentlichen Ziele:
Significant goals of IHP’s research programs are specified below:
Drahtlose Systeme und Anwendungen
Im Programm „Drahtlose Systeme und Anwendungen“
werden komplexe Systeme für die drahtlose Kommunikation und deren Anwendungen untersucht und entwickelt. Ziel sind Hardware- / Software-Systemlösungen
auf hochintegrierten Single-Chips, Systeme on Chip
(SoC) oder Systeme in Packages (SiP).
Wireless Systems and Applications
This program investigates and develops complex
systems for wireless communication and their applications. The objective is finding solutions for hardware / software systems on highly integrated single
chips, Systems on a Chip (SoC) or Systems in a Package (SiP).
Für WLANs hoher Performance sollen Datenraten bis
100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht
werden. Weitere wichtige Forschungsthemen sind Untersuchungen, die die Quality of Service im Hochlastbereich verbessern sowie Untersuchungen zur Erhöhung
der Zuverlässigkeit von WLANs zur Verwendung in sicherheitskritischen Anwendungen wie in der Fahrzeugzu-Fahrzeug-Kommunikation.
The target of high performance WLAN research is
to achieve a data rate of up to 100 Gbps at carrier
frequencies of up to 300 GHz. Additional important
fields of research include the improvement of Quality
of Service in the high load region as well as investigations to increase the reliability of WLANs for security-sensitive applications such as car-to-car communication.
Die Forschung zu Systemen mit geringem Energieverbrauch hat zum Ziel, Sensornetze auf Basis hochintegrierter Chips oder SoC zu realisieren. In diesem Zusammenhang werden neue Netzarchitekturen, verteilte,
ressourcenarme Middlewareansätze, neue energieeffiziente Medienzugriffsprotokolle sowie energieeffiziente
Transceiver erforscht und realisiert. UWB-Technologien
auf der Basis von IEEE 802.15.4a sind Beispiele für
drahtlose Kommunikation im Nahbereich und zusätzlich hohe Ortsauflösungseigenschaften. Die Forschung
zu kontextabhängigen Middleware-Systemen betrifft
insbesondere auch die Erhaltung der Privatsphäre und
die Sicherheit bei der Nutzung mobiler Endgeräte.
Dazu werden modulare Kryptoprozessoren sowohl für
AES (Advanced Encryption Standard) als auch für unterschiedliche ECC (Elliptic Curve Cryptography)-Verfahren untersucht und entwickelt. Zusätzlich werden
unterschiedliche Verfahren für die digitale Signatur zur
Überprüfung der Authentizität von drahtlosen Nachrichten untersucht.
The research on systems with low energy consumption
is directed towards sensor networks on single chips or
SoC. In this context new network architectures, distributed low resource middleware concepts, new energy efficient protocols for media access as well as
energy-efficient transceivers are investigated and
realized. UWB technologies based on IEEE 802.15.4a
are examples of short-range wireless communication
with an additional high spatial resolution. Research
in context-sensitive middleware systems especially
addresses privacy and security matters in using mobile devices. In this context, modular crypto processors
for AES (Advanced Encryption Standard) as well as for
different ECC (Elliptic Curve Cryptography) techniques
are investigated and developed. Additionally, techniques for digital signature with different authenticity checks of wireless messages are investigated.
An n ual
R e p or t
2 01 0
F orschung
d es
I H P
–
I H P ‘ s
R esearch
Bei der Entwicklung von Methoden zur Erhöhung der
Zuverlässigkeit und Testbarkeit von Schaltungen werden Bibliotheken für CMOS-Technologien untersucht
und realisiert, die die Strahlungsfestigkeit von Schaltungen erhöhen. Im Bereich des Logikdesigns werden
unterschiedliche Verfahren zur Redundanzerhöhung
kritischer Pfade untersucht. Darüber hinaus werden
Speichergeneratoren entwickelt, die unterschiedliche
Speichertypen unterstützen. EDAC (Error Detection And
Correction)-Techniken zur Datenkorrektur in Speichern
gehören zum Portfolio. Der Test aller im IHP entwickelten digitalen Schaltungen wird als Dienstleistung angeboten.
CMOS libraries for higher radiation hardness are investigated and realized in the context of higher reliability and testability of circuits. For digital designs different procedures for obtaining higher redundancy in
critical paths are investigated. Furthermore, memory
generators for different memory types are developed.
Additional tasks are EDAC (Error Detection And Correction) techniques for data correction in memories
and testing of all digital IHP-circuits as a service.
Hochfrequenz-Schaltkreise
RF Circuits
Im Programm „Hochfrequenz-Schaltkreise“ werden integrierte mm-Wellen-Schaltkreise & Synthesizer, Breitband-Mischsignal-Schaltkreise sowie Schaltkreise für
drahtlose Anwendungen mit sehr geringem Energieverbrauch entwickelt und als Prototypen realisiert.
In this program integrated mm-wave circuits & synthesizers, broadband mixed-signal circuits and circuits for ultra-low-power wireless applications will be
designed and realized as prototypes.
Integrierte
Millimeterwellen-HF-Schaltkreise
für
Front-ends und Synthesizer zum Einsatz in der drahtlosen Kommunikation bei Frequenzen von etwa 10 bis
300 GHz werden derzeit entwickelt. Sie ermöglichen in
Zukunft Anwendungen im Bereich der drahtlosen Kurzstrecken-Kommunikation mit Bandbreiten von über
25 GHz und 100 Gbps. Weitere Einsatzgebiete sind
hochintegrierte Radar-Transceiver, mm-Wellen- / THzSensoren für Sicherheitstechnik, zerstörungsfreie Materialprüfung, Bioanalytik und Raumfahrt.
Integrated millimeter-wave RF circuits for frontends
and synthesizers for wireless communication at
roughly 10 to 300 GHz are under development. In the
future they will enable applications in wireless short
range communication with 100 Gbps at a bandwidth
of more than 25 GHz. Additional application areas are
highly integrated radar transceivers, mm-wave- & THz
sensors for security, non-destructive materials testing, bioanalysis and aerospace.
Für die glasfasergestützte Breitbandkommunikation
werden Konzepte und elektronische Komponenten für
Glasfasersysteme mit Datenraten von 10 bis 400 Gbps
pro Laser-Wellenlänge für zukünftige schnelle Glasfasernetze sowie opto-elektronische USB-Technologien
der übernächsten Generation entwickelt. Beispiele dafür sind schnelle Verstärker (Transimpedanzverstärker,
Treiber) mit extrem hohen Bandbreiten, A / D- & D / AWandler mit Sampling-Raten von über 20 GSps, schnelle
Stromschalter-Logikschaltkreise, die Verarbeitung von
Mischsignalen in Echtzeit sowie integrierte photonische
Systeme (Silicon Photonics).
Concepts and electronic components for fiber-optical
broadband communication systems with data rates
from 10 up to 400 Gbps per Laser wavelength will be
developed for future fast fiber-optical networks as
well as optoelectronic next generation USB technologies. Examples are fast amplifiers (transimpedance
amplifiers, driver circuits) with extremely high bandwidth, A / D- and D / A-converters with sampling rates
of more than 20 GSps as well as fast current switch
logic circuits and real-time analog / digital signal
processing as well as integrated photonic systems
(Silicon Photonics).
Annual
Repo r t
201 0
11
F orschung
12
d es
I H P
–
I H P ‘ s
R esearch
Extrem energiesparende HF-Frontends und HF-Komponenten werden für drahtlose Sensornetze entwickelt.
Hierzu werden innovative Impuls-UWB-Transceiver, RFMEMS-basierte Schaltungen und Wake-Up-Radio-Konzepte erforscht, mit denen die geforderte Batterie-Lebensdauer von 10 Jahren erreichbar ist.
Ultra-low-power RF frontends and components are
developed for wireless sensor networks. For this, innovative impulse UWB transceiver, RF-MEMS based
circuits and concepts for wake-up radio are investigated which will enable the required ten years battery
lifetime.
Technologieplattform für drahtlose und Breitbandkommunikation
Technology Platform for Wireless and Broadband
Communication
Im Programm „Technologieplattform für drahtlose
und Breitbandkommunikation“ werden Technologien
(insbesondere BiCMOS-Technologien) mit zusätzlichen
Funktionen durch die modulare Erweiterung von CMOS
entwickelt. Die Schwerpunkte in diesem Programm sind
Technologien mit hoher Performance, Technologien für
eingebettete Systeme sowie die Sicherung des Zugriffs
interner und externer Designer auf die Technologien
des IHP.
The goal of this program is to develop value-added
technologies, preferably BiCMOS technologies, by the
modular extension of CMOS. The focal points in this
program are technologies with high performance,
technologies for embedded systems, and the provision of technology access for internal and external
designers.
Die Forschung in Richtung Technologien hoher Performance zielt auf extrem schnelle SiGe Heterobipolartransistoren, einschließlich komplementärer Bauelemente
und neuer Bauelementekonzepte für Anwendungen bei
Frequenzen bis > 100 GHz ab. Hier konnten im Rahmen
des EU-Projektes DOTFIVE (Towards 0.5 Terahertz Silicon / Germanium Hetero-junction Bipolar Technology)
vom IHP 500 GHz maximale Schwingfrequenz erreicht
werden.
The research towards high-performance technologies targets ultrafast SiGe heterobipolar transistors,
including complementary devices and new device
concepts for applications at frequencies of up to
> 100 GHz. Devices with 500 GHz maximum oscillation frequency were demonstrated by IHP in the framework of the European project DOTFIVE (Towards
0.5 Terahertz Silicon / Germanium Hetero-junction
Bipolar Technology).
Zielstellung der Forschung für eingebettete Systeme ist
es, BiCMOS-Technologien durch die Integration innovativer Module mit zusätzlicher Funktionalität zu versehen. Basis dieser „More than Moore“-Strategie sind die
0,25-µm- und 0,13-µm-BiCMOS-Technologien des IHP.
Schwerpunkte sind die Integration von Hochfrequenz
LDMOS-Transistoren, passiven Bauelementen, Hochfrequenz MEMS (Mikroelektromechanische Systeme)Komponenten und die Verbindung von Elektronik und
Photonik-Technologien (Silicon Photonics).
The aim of the research on embedded systems is to
integrate innovative modules with additional functionality in BiCMOS technologies. This is a “More
than Moore” strategy based on IHP`s 0.25 µm and
0.13 µm BiCMOS technologies. Main focuses of work
are the integration of RF LDMOS transistors, passive
devices, RF-MEMS (microelectromechanical systems)
components as well as the combination of electronic
and photonic technologies (Silicon Photonics).
An n ual
R e p or t
2 01 0
F orschung
d es
I H P
–
I H P ‘ s
R esearch
Die BiCMOS-Technologien werden neben der Nutzung für Forschungsprojekte des IHP in Europa und
weltweit für Designs von analogen und Mischsignalschaltungen angeboten. Zusätzlich zu den bisherigen
Technologien gibt es 2011 erstmalig eine 0,13-µmBiCMOS, die HBTs mit fT / fMAX = 300 / 400 GHz enthält.
Der Zeitplan für die technologischen Durchläufe in der
Pilotlinie in Frankfurt (Oder) ist über die Internetadresse des IHP einsehbar.
The BiCMOS technologies are used for IHP’s research
projects and are also available for designers in Europe
and throughout the world. In addition to the technologies offered so far, a 0.13 µm BiCMOS with HBTs of
fT / fMAX = 300 / 400 GHz will be offered in 2011 for the
first time. The schedule for technological runs in the
pilot line in Frankfurt (Oder) can be found via IHP`s
website.
Materialien für die Mikro- und Nanoelektronik
Materials for Micro- and Nanoelectronics
Im Forschungsprogramm „Materialien für die Mikround Nanoelektronik“ wird zur längerfristigen Sicherung
der technologischen Innovationskraft des Institutes an
der Integration neuer Materialien und Bauelementekonzepte in moderne Silizium BiCMOS-Technologien
gearbeitet. Von besonderer Bedeutung sind hierbei
„More than Moore“-Ansätze auf dem Gebiet künftiger
Terahertz- und Photonik-Anwendungen.
Focus of the research program “Materials for Microand Nanoelectronics” is to secure the institute’s
long-term technological innovation power by the
integration of new materials and device concepts in
modern silicon BiCMOS technologies. Of particular
importance here are “More than Moore”-approaches
for future terahertz and photonic applications.
Die Forschungsarbeiten im Bereich „Front-End-of-Line“
(FEOL) zielen auf die Erzeugung alternativer Halbleiterstrukturen (insbesondere Ge, InGaP und GaN) hoher
Qualität auf Silizium ab.
The research in “front-end of line” (FEOL) targets
high quality alternative semiconductor structures (in
particular Ge, InGaP and GaN) on silicon.
Im Bereich „Back-End-of-Line“ (BEOL) steht die Integration von Metall-Isolator-Metall (MIM) Strukturen
für nichtflüchtige Speicher und „Surface acoustic wave
(SAW)“ Bauteilen für Filter oder biomedizinische Sensorfunktionen im Vordergrund.
In the foreground of the “back-end of line” (BEOL)
research are the integration of metal-insulator-metal
(MIM) structures for non-volatile memories and surface acoustic wave (SAW) devices for filters or biomedical sensor functions.
Die Evaluierung neuer Materialien mit einem hohen
Potential für künftige Terahertz- und Photonik-Anwendungen in der Silizium-Mikroelektronik ist ein wesentlicher Punkt der Materialforschung. Schwerpunkt der
Arbeiten ist hierbei die Grundlagen- und Bauteilphysik
innovativer Graphen-Systeme.
A main topic of the materials research is the evaluation of new materials with a high potential for future terahertz and photonic applications in silicon
microelectronics. Basic research and device physics
of innovative graphene-based systems are in the focus here.
Annual
Repo r t
201 0
13
F orschung
14
d es
I H P
–
I H P ‘ s
R esearch
Um die hohen Anforderungen moderner Silizium-Schaltkreise zu erfüllen ist eine Materialcharakterisierung mit
hoher Auflösung und Sensitivität bis hinab zur NanoSkala unabdingbar. Neben den laborbasierten Verfahren stellt daher die Nutzung des Potentials moderner
Synchrotronquellen der 3. Generation in Europa ein
wesentliches Standbein der Materialforschung am IHP
dar, um eine zeitgemäße Materialforschung auf dem
Gebiet der Mikro- und Nanoelektronik zu betreiben.
To meet the high demands of modern silicon circuits,
a material characterization with high resolution and
sensitivity down to the nanoscale is essential. In
addition to laboratory-based procedures, the use of
the potential of modern 3rd generation European synchrotron sources is an essential pillar of materials research at the IHP, in order to conduct contemporary
materials research in the field of micro- and nanoelectronics.
Gegenstand der Arbeiten im Gemeinsamen Labor mit
der BTU Cottbus ist die Silizium-Materialforschung.
Dabei sollen die Eigenschaften des Si-Materials maßgeschneidert werden, um neue Anwendungen zu ermöglichen und um bestehende Anwendungen zu verbessern.
Silicon materials research is the subject matter of the
Joint Lab IHP / BTU. Silicon properties are tailored
to enable new applications and to improve existing
ones.
Schwerpunkte der grundlagenorientierten Vorlaufforschung, die sich u.a. mit den Möglichkeiten einer
kontrollierten Ausnutzung der physikalischen Eigenschaften von Versetzungen für neuartige Bauelemente
befasst, sind Arbeiten zu Si-basierten Lichtemittern für
die optische Datenübertragung, zu Si-basierten thermo-elektrischen Generatoren, zum Defect Engineering
für zukünftige Si-Wafer, zum Bandstrukturdesign und
Ladungsträgertransport in Si-basierten Quantenstrukturen und zur Beherrschung der elektrischen Eigenschaften von Kristalldefekten im Solar-Si.
Focuses of the initial basic research, addressing
amongst others the possibilities of controlled use of
the physical properties of dislocations for new devices,
are activities towards Si-based light emitters for optical data transmission, Si-based thermo-electric generators, defect engineering for future silicon wafers,
band structure design and charge carrier transport in
Si-based quantum structures, and the control of electrical properties of crystal defects in solar silicon.
An n ual
R e p or t
2 01 0
F orschung
d es
I H P
–
I H P ‘ s
R esearch
IHP‘s Research Roadmap
Annual
Repo r t
201 0
15
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Update 2010
16
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Das Jahr 2010
Update 2010
Zu Beginn des Jahres 2010 besuchten bedeutende Persönlichkeiten aus Politik und Wissenschaft das IHP. So
übergab die Brandenburger Wissenschaftsministerin
Dr. Martina Münch am 14. Januar einen Fördermittelbescheid zum Projekt „Neue Kommunikations-Systeme auf der Basis nanoelektronischer Technologien“,
am 3. März informierte sich der Ministerpräsident des
Landes Brandenburg, Matthias Platzeck, über neueste
Forschungsergebnisse und der Brandenburger Finanzminister, Dr. Helmuth Markov, nutzte den „Tag der offenen Tür“ am 6. September zum Besuch des IHP.
At the beginning of 2010, important personalities from
politics and science visited the IHP. On January 14, the
Minister of Science, Research and Culture of Brandenburg, Dr. Martina Münch, delivered a funding decision
for the project “New communication systems on the
basis of nanoelectronic technologies”, on March 3,
the Minister President of Land Brandenburg, Matthias
Platzeck, was informed about the latest research results, and on September 6, the Brandenburg Minister
of Finance, Dr. Helmuth Markov, used the IHP Open Day
for a visit.
Vom großen wissenschaftlichen Interesse an den Arbeiten des Institutes zeugte der Besuch des Nobelpreisträgers für Physik, Prof. Dr. Zhores Alferov, im Februar. Seine wissenschaftlichen Arbeiten stehen in einem engen
Zusammenhang mit den Forschungsthemen des IHP.
The visit of Nobel Laureate for physics, Prof. Zhores
Alferov in February, is evidence of the great scientific
interest in the work of the institute. Alferov`s scientific works are closely related to the research themes
of the IHP.
Für die internationale Forschungskooperation wurden
2010 neue Vereinbarungen unterzeichnet. So wurde
mit der Tohoku Universität Sendai (Japan) die Vereinbarung zum akademischen Austausch um weitere
fünf Jahre verlängert, mit der Fakultät für Physik der
TU Poznan (Polen) eine Kooperationsvereinbarung und
mit dem Zentrum für energieeffiziente Elektronik -E3Sin Berkeley (USA) ein Memorandum of Understanding
abgeschlossen.
New agreements were signed in 2010 for the further
international research cooperation. With the Tohoku
University Sendai (Japan) the agreement for academic exchange was extended for another five years,
with the Faculty of Physics of the University of Poznan (Poland) a cooperation agreement was made, and
with the Center for Energy Efficient Electronics Science -E3S- in Berkeley (USA) a Memorandum of Understanding was signed.
Der Projektleiter Mehmet Kaynak erhielt den „Best Paper Award“ beim 10. Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems (SiRF). Mit dem
„Young Engineer Award“ wurde Jana Krimmling beim
„SPS / IPC / Drives“, Europas führender Fachmesse für
elektrische Automatisierung, ausgezeichnet.
The project leader Mehmet Kaynak received the “Best
Paper Award” at the 10th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems (SiRF).
Jana Kimmling received the “Young Engineer Award”
at the Congress SPS / IPC / Drives, Europe‘s leading
trade fair for electrical automation.
Die Publikations- und Vortragstätigkeit des Institutes wuchs im Jahr 2010 deutlich und erreichte 180
schriftliche Publikationen und 264 Vorträge. Neben den
zahlreichen Vorlesungen von IHP-Mitarbeitern an Brandenburger und Berliner Universitäten und Hochschulen
zeugen 20 abgeschlossene Diplom-, Master- und Bachelorarbeiten sowie acht erfolgreich verteidigte Dissertationen vom starken Engagement des Institutes bei der
studentischen Ausbildung.
The publication and presentation activities of the
Institute grew significantly in 2010 and reached 180
written presentations and 264 oral presentations.
In addition to the numerous lectures of IHP staff at
Brandenburg and Berlin universities and colleges 20
completed diploma, master‘s or bachelor theses and 8
successfully defended dissertations are evidence for
the strong commitment of the Institute in the education of students.
Annual
Repo r t
201 0
17
Das
18
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Das IHP kooperiert seit mehreren Jahren mit der BTU
Cottbus und der TH Wildau im Rahmen gemeinsamer
Labore. Zum Forschungsthema Silicon Photonics wurde
ein weiteres Gemeinsames Labor am 18. Juni mit der
TU Berlin eröffnet.
The IHP has been cooperating for several years with
the BTU Cottbus and the TH Wildau in joint labs. An
additional joint lab together with the Technical University of Berlin on the research topic silicon photonics was opened on June 18.
Zum Ausbau der Kooperation mit regionalen Firmen
wurde am IHP zum zweiten Mal der Brandenburger
Sensornetztag durchgeführt. Bereits zum 9. Mal brachte der Workshop „High-Performance SiGe BiCMOS“ im
September Anwender der IHP-Technologien zusammen.
Ihm folgte ein zweitägiges Tutorial zu den IHP Design
Kits, 2010 mit dem Schwerpunkt integrierte RF-MEMS.
Zur aktiven Nachwuchswerbung fanden am IHP verschiedene Veranstaltungen statt. Hervorzuheben sind
die „Sommerschule Mikroelektronik / Solar“ für Studierende und die „Sommerakademie Solar“ für interessierte Schüler / innen, organisiert durch den Förderverein
des IHP.
A “Brandenburg Sensornetztag” was held for the second time to extend the cooperation with regional
companies. The 9th workshop “High-Performance SiGe
BiCMOS” in September brought users of IHP`s technologies together. The workshop was followed by a
two-days tutorial on IHP`s Design Kits, in 2010 with
the focus on integrated RF-MEMS. Within our efforts
for recruiting young people several events were conducted. In particular, we would like to emphasize the
summer school Microelectronics / Solar for students
and the Summer Academy Solar for interested pupils,
which was organized by the friends of the IHP.
Wissenschaftliche Ergebnisse
Scientific Results
Im Folgenden wird auf ausgewählte wissenschaftliche
Fortschritte in den Forschungsprogrammen im Jahr
2010 hingewiesen. Detaillierte Ergebnisse einzelner
Forschungsprojekte sind im nachfolgenden Kapitel
„Ausgewählte Projekte“ dargestellt.
In the following, selected scientific advances in the
research programs in 2010 will be pointed out. Detailed results of single research projects will be described in the next chapter “Selected projects”.
Drahtlose Systeme und Anwendungen
Wireless Systems and Applications
Das IHP ist Konsortialführer im durch das BMBF geförderten Projekt EASY-A (Enablers for Ambient Services
and Systems – 60 GHz Broadband Links). Bei einer
Übertragungsrate von 3,6 Gbps wurden 15 m Reichweite erzielt. Dies ist der bisher weltweit beste Wert für
Übertragungen ohne Beamforming. Zusätzlich wurde
mit der Firma Cambridge Silicon Radio ein Abkommen
für die Weiterentwicklung des UWB-basierten 60-GHzSystems getroffen.
IHP is the coordinator of the project EASY-A (Enablers
for Ambient Services and Systems – 60 GHz Broadband
Links) which is funded by the Federal Ministry of Education and Research. A transmission range of 15 m
was reached for a data rate of 3.6 Gbps. This is the
highest value for transmissions without beamforming
which was achieved worldwide so far. Additionally, an
agreement for the further development of the UWBbased 60 GHz system was made with the company
Cambridge Silicon Radio.
Im Projekt MIMAX (Advanced MIMO systems for MAXimum reliability and performance; MIMO: Multiple Input
Multiple Output) wurde ein vollständiges Basisband und
MAC-System (MAC: Media Access Control) realisiert und
an den Partner übergeben. Das Modul entspricht der im
In the project MIMAX (Advanced MIMO systems for
MAXimum reliability and performance; MIMO: Multiple Input Multiple Output) a complete system for MAC
(Media Access Control) and the baseband was realized
and transfered to the partner. The module fulfills the
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Projekt entwickelten Spezifikation und arbeitet mit einer sehr guten Zuverlässigkeit und Performance. Inzwischen wurden weitere Basisbandmodule zusammen mit
einem Link Emulator an den Anwendungspartner übergeben. Die Integration der analogen MIMO Frontends
mit den Basisband-Prozessoren wurde gezeigt. Auch
die angestrebte Kompatibilität der MIMAX-Ansätze zum
Standard IEEE 802.11a konnte nachgewiesen werden.
specifications developed in the project and works very
reliably and with good performance. In the meantime,
additional baseband modules together with a link
emulator were transfered to the application partner.
The integration of the analog MIMO frontends with
the baseband processors was demonstrated. The aimed compatibility of the MIMAX approaches with the
IEEE 802.11a standard was proved.
Im Rahmen des Projektes OMEGA (home gigabit access)
wurde ein extrem schneller I-MAC (Intermediate-MAC
Layer) entwickelt und auf der Tagung ICT in Brüssel im
September 2010 sehr erfolgreich vorgestellt. Zusätzlich
wurde ein Monitoring-System zur Veranschaulichung
der Funktion und zum Messen der Leistungsparameter
realisiert. Das Monitoring System wurde so entwickelt,
dass es mit geringem Aufwand auch in anderen Demonstratoren eingesetzt werden kann.
A very fast I-MAC (Intermediate–MAC Layer) was developed in the context of the project OMEGA (home
gigabit access) and successfully presented at the
ICT conference in Brussels in September 2010. Additionally, a monitoring system was realized to demonstrate the mode of operation and to measure the
performance parameters. The monitoring system was
developed in such a manner as to be applicable in
other demonstrators too with minimal effort.
Die Messergebnisse des am IHP realisierten DSSS (Direct
Sequence Spread Spectrum) Basisbandsystems für den
neuen Metering-Standard nach EN15787-4 erlaubten
bereits dessen Integration in einen IPMS 430 Mikroprozessor Core. Auch hier wurde die Funktionsfähigkeit
nachgewiesen.
The measurement results of IHP`s DSSS (Direct Sequence Spread Spectrum) baseband system for the
new metering standard EN15787-4 allowed their integration into an IPMS 430 microprocessor core. The
functionality was also demonstrated for this solution.
2010 wurden die Projekte SolarFlex (Flexible drahtlose
Managementschnittstelle für mittlere und große Wechselrichternetze von Solarkraftwerken, ein Projekt des
Zentralen Innovationsprogramms Mittelstand (ZIM)),
LOCARE (Low-Cost Accurate Range Exploitations, ein
Projekt des Programms ForMaT-Forschung für den Markt
im Team), VIDS (Sensoren für eine kooperative Netzwerküberwachung, ebenfalls ein ForMaT-Projekt), das
Projekt TAMPRES (TAMper Resistant Sensor node, EUFP7) und das Projekt intelligente drahtlose Rückfahrkamera neu gestartet.
The projects SolarFlex (flexible wireless management
network for medium and large DC-to-AC converter
modules of solar plants, a ZIM project (central innovation programme for small enterprises), LOCARE (LowCost Accurate Range Exploitations, a project of the
program ForMaT - research for the market as a team),
VIDS (sensors for a cooperative network control, also
a ForMaT project), the project TAMPRES (TAMper Resistant Sensor node, EU-FP7) and the project intelligent
wireless rear view camera were all started in 2010.
Der im durch das BMBF geförderten Projekt FeuerWhere
(Tracking Fire Fighters) realisierte Demonstrator für
das Body Area Netz wurde in 2010 im Brandhaus im
Kontext mit den anderen Modulen des Projektes verifiziert.
The demonstrator for the body area network, developed in the BMBF funded project FeuerWhere (Tracking
Fire Fighters), was verified in the fire test room in
context with other modules of the project in 2010.
Annual
Repo r t
201 0
19
Das
20
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Hochfrequenz-Schaltkreise
High Frequency Circuits
Lizenzfreie Funkbänder bei 122 GHz und 245 GHz ermöglichen in Zukunft eine Vielzahl von Anwendungen
im Bereich Radarsensorik und Bildgebung. Für das ZIMProjekt „Integrated Security Monitor“ wurde eine 122GHz-Elektronik für Körper-Scanner realisiert. Es wurde
ein 122 GHz Receiver mit hoher Verstärkung (>30 dB)
und niedriger Rauschzahl (<11 dB) in der 0,13-µmSiGe-BiCMOS- Technologie des IHP entwickelt. Des Weiteren wurde eine Sendeschaltung (Frequenzverdoppler)
mit 5 dBm Ausgangsleistung realisiert, die die im Projekt geforderte Spezifikation voll erfüllt. Erste Arbeiten
an Transceivern im 245-GHz-Band für Kommunikationsund Sensorik-Anwendungen wurden begonnen.
License-free radio bands at 122 GHz and 245 GHz
will allow for many applications in the area of radar
sensing and imaging. An electronic solution for body
scanners at 122 GHz was realized in the ZIM project
“Integrated Security Monitor”. A 122 GHz receiver
with high amplification (>30 dB) and low noise (<11
dB) was developed in IHP`s 0.13 µm BiCMOS technology. Furthermore, a transmitter (frequency doubler)
with 5 dBm output power was realized, which completely fulfils the specification required for the project.
First transceiver designs for communication and sensing applications in the 245 GHz band were started.
Im durch das IHP koordinierten EU-Projekt SUCCESS
(Silicon-based Ultra-Compact Cost-Efficient mmWave Sensor System-on-Chip) wird ein 122 GHz Radar
System-on-Chip (SoC) entwickelt, in dem ein digital
gesteuerter HF-Transceiver zusammen mit einem Radarprozessor auf einem Chip integriert werden soll.
Überdies werden in diesem Projekt in Zusammenarbeit
mit dem Karlsruhe Institute of Technology und Bosch
neue Konzepte für mm-Wellen System-in-Package (SiP)
mit integrierten Antennen untersucht, mit denen nicht
nur die Elektronik, sondern auch Aufbautechnik und
Häusung der Systeme kostengünstig zu realisieren
sind. Die Kombination aus 122 GHz SoC und SiP wird in
Zukunft preisgünstige und energieeffiziente Radarsysteme ermöglichen.
The EU-funded project SUCCESS (Silicon-based UltraCompact Cost-Efficient mm-Wave Sensor System-onChip) is coordinated by the IHP. A 122 GHz radar System-on-Chip (SoC) is developed in this project, with
a digitally controlled RF transceiver planned to be
integrated on a chip together with a radar processor.
Additionally, new concepts for mm-wave Systems-inPackage (SiP) with integrated antennas are studied
in this project together with the Karlsruhe Institute
of Technology and Bosch. The aim is a low cost solution for the integration of electronic components, and
also for packaging and assembly. The combination of
122 GHz SoC and SiP will enable future low cost and
energy efficient radar systems.
Für 60 GHz WLAN-Anwendungen wurden im Rahmen des
BMBF-Projekts EASY-A vollintegrierte Sende- und Empfangschips realisiert und Funkmodule mit integrierten
Antennen entwickelt. Mit dieser Hardware wurden
Übertragungsexperimente mit OFDM - Signalen (OFDM:
Orthogonales Frequenzmultiplexverfahren) durchgeführt und Rekordwerte für Reichweite (15 m) und Datenrate (3,6 Gbps bei fehlerfreier Übertragung, bzw.
4,8 Gbps Bruttodatenrate mit Fehlerkorrektur) erzielt.
Zukünftige Arbeiten bei 60 GHz fokussieren auf MultiAntennen-Transceiver und Mischsignal-Basisbandverarbeitung sowie auf die kommerzielle Verwertung von
entwickelten 60-GHz-Schaltungen in Zusammenarbeit
mit externen Partnern.
Fully integrated transmitter and receiver chips as well
as radio modules with integrated antennas for WLAN
applications at 60 GHz were developed in the BMBF
funded project EASY-A. Transmission tests with OFDM
signals (OFDM: Orthogonal frequency-division multiplexing) were made with this hardware and record
parameters were reached for the range (15 m) and
the data rate (3.6 Gbps for error free transmission,
4.8 Gbps gross data rate with error correction). Future activities at 60 GHz will focus on multi-antenna
transceivers and mixed-signal base band processing
as well as on the commercial use of developed 60 GHz
circuits together with external partners.
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Für zukünftige Mobilfunk-Basisstationen mit energieeffizienten Class-S Sendeverstärkern wurden BandpassDelta-Sigma-Modulatoren weiterentwickelt. Ein 2-GHzModulator für UMTS-Anwendungen mit neuartiger Architektur wurde entwickelt und von den Firmen EADS
und Alcatel-Lucent erfolgreich getestet. Theoretische
Untersuchungen haben zu neuen Verfahren und einer
weiteren Patentanmeldung geführt. Im Juni 2010 wurde eine Kooperation mit ETRI (Electronics and Telecommunications Research Institute) in Korea gestartet, die
die Entwicklung neuartiger Class-S Bandpass-Delta-SigmaModulatoren für WiMAX-Systeme zum Ziel hat.
Bandpass delta-sigma modulators were further developed for innovative future cellular base stations
with energy efficient class-S transmitter amplifiers. A
2-GHz-modulator for UMTS applications with a new
architecture was developed and successfully tested by
EADS and Alcatel-Lucent. Theoretical investigations
resulted in new methods and an additional patent application. A cooperation with ETRI (Electronics and
Telecommunications Research Institute) in Korea was
started in June 2010 aiming at the development of
new Class-S bandpass delta-sigma modulators for WiMAX systems.
In Zusammenarbeit mit der ESA (European Space Agency) werden die erfolgreichen Arbeiten an hochintegrierten, strahlungsharten Fractional-N PLL-Synthesizern (PLL: Phase-locked loop) für Satellitenanwendungen fortgeführt. Ein Projekt zur Phasenrauschmodellierung von PLL-Synthesizern wurde mit der ESA und
weiteren Partnern gestartet. In Vorbereitung zu diesem
Projekt wurde eine neue mathematische Modellierung
von nichtlinearen Effekten in Delta-Sigma-FractionalN-Synthesizern entwickelt und in der renommierten
Zeitschrift IEEE Transactions on Circuits and Systems
publiziert. Genaue Methoden zur PLL-Rauschmodellierung ermöglichten es, einen optimierten, vollintegrierten 10-GHz-Synthesizer mit CMOS-VCO (Voltage
controlled oscillator) und Rekordwerten für Phasenrauschen und Jitter (160 fs RMS) zu realisieren.
The successful work on highly integrated and radiation hard Fractional-N PLL synthesizers (PLL: Phaselocked loop) for satellite applications was continued
in cooperation with the ESA (European Space Agency). A project for the modelling of phase noise of PLL
synthesizers was started together with the ESA and
other partners. In preparation for this project a new
mathematical modelling of nonlinear effects in deltasigma Fractional-N synthesizers was developed and
published in the renowned journal IEEE Transactions
on Circuits and Systems. Exact methods for modelling
PLL noise enabled the realization of an optimized,
fully integrated 10 GHz synthesizer with CMOS VCO
(Voltage controlled oscillator) und record values for
phase noise and jitter (160 fs RMS).
Im Projekt HiTrans wurden zusammen mit Berliner Firmen und Instituten Schaltungen für kostengünstige
40 Gbps Glasfasermodule entwickelt, die schnellere,
kostengünstigere und energieeffizientere Datenkommunikation in Kurzstreckenverbindungen ermöglichen
werden. Im Projekt wurden ein energieeffizienter 40
Gbps VCSEL-Treiber (VCSEL: Vertical-cavity surfaceemitting laser) und ein Transimpedanzverstärker für
Multimoden-Photodetektoren entwickelt, die weltweit
den ersten 40-Gbps-Chipsatz für Multimoden-Glasfasersysteme darstellen. Im Rahmen des europäischen
Verbundprojektes OpticalLink werden aktive optische
Kabel für zukünftige ultra-schnelle USB-Verbindungen
mit 20 Gbps entwickelt. Im Fokus stehen hier insbesondere die Integration von SiGe-Schaltungen und optoelektronischen Komponenten auf Siliziumsubstraten
Circuits for cost efficient 40 Gbps fiber modules were
developed in the project HiTrans together with Berlin companies and institutes. They will enable faster, more cost and energy efficient short range data
communication. An energy efficient 40 Gbps VCSELdriver (VCSEL: Vertical-cavity surface-emitting laser)
and a transimpedance amplifier for multimode photo detectors were developed in the project. They are
the first 40 Gbps chipset for multimode fiberoptical
systems worldwide. Active optical cables for future
ultrafast 20 Gbps USB connectors are under development in the European collaborative project OpticalLink. The integration of SiGe circuits with optoelectronic components on silicon wafers with passive
optical devices and waveguides (Silicon Photonics)
are the main focus. This hybrid integrated circuit is
Annual
Repo r t
201 0
21
Das
22
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
mit passiven optischen Bauelementen und Wellenleitern (Silicon Photonics). Diese hybridintegrierte
Schaltung stellt ein kostengünstiges elektro-optisches
Mikrosystem dar, das in einen USB-Stecker integriert
werden kann. Aufgabe der Abteilung Circuit Design ist
die extrem verlustleistungseffiziente Realisierung von
Lasertreiber und Transimpedanzverstärker, da durch die
Integration in einem Kunststoff-USB-Stecker kaum Abwärme abgeführt werden kann.
a low cost electro-optical microsystem, which can be
integrated in a USB plug. The task of the department
Circuit Design is to build a laser driver and transimpedance amplifier with extremely low power dissipation,
since heat transmission is difficult because of the integration in a plastic USB-connector.
Ein vollintegrierter, impulsbasierter UWB-Transceiver
für den IEEE 802.15.4a-Standard wurde erfolgreich realisiert. Der Transceiver eignet sich für Anwendungen
im Bereich drahtloser Sensornetzwerke und soll im Projekt TANDEM der Abteilung System Design mit dem dort
entwickelten Basisband und MAC zu einem Single-Chip
UWB-Kommunikations-System integriert werden. Der
komplexe HF-Chip vereint alle HF-Komponenten des
Senders und Empfängers, einen Frequenzsynthesizer
sowie die analoge Basisbandverarbeitung und wurde in
der SGB25V-Technologie des IHP realisiert. Im Projekt
DISTCOM wurde zusammen mit der IMST GmbH, KampLintfort, ein impulsbasierter UWB-Transceiver realisiert,
der ein proprietäres Impulsverfahren nutzt. Dieses
Funkverfahren wurde im früheren EU-Projekt PULSERS
I und II entwickelt. Der DISTCOM-Transceiver ermöglicht
die hochgenaue Laufzeitmessung zur Lokalisierung von
Funkmodulen und ist insbesondere für Lokalisierung
und Kommunikation in Industrie-Umgebungen geeignet.
A fully integrated, impulse based IEEE 802.154a standard compliant UWB transceiver was realized successfully. The transceiver is well suited for wireless
sensor network applications. It is planned for integration with the baseband and MAC, which were developed by the department System Design in the project
TANDEM, to a single chip UWB communication system.
The complex RF chip integrates all RF components of
the transmitter and receiver, a frequency synthesizer
as well as the analog baseband processing. This chip
was manufactured with IHP`s technology SGB25V. Together with the IMST Kamp-Lintfort, an impulse based
UWB transceiver with a proprietary impulse technique
was realized in the project DISTCOM. The proprietary impulse based radio technology was developed in
the former European projects PULSERS I and II. The
DISTCOM transceiver enables the high-precision runtime measurement for the localization of radio modules and is well suited for localisation and communication in industrial environments.
Integrierte mm-Wellen- und Breitbandschaltungen stellen höchste Anforderungen an die Gehäuse- und Aufbautechnik sowie die Hochfrequenzmodellierung des
Chip- / Gehäuse-Interfaces. Die Abteilung Circuit Design
baut hierzu auf eine doppelte Strategie: Für das schnelle Prototyping wurde das RF ProtoLab eingerichtet und
ausgebaut, für professionelles, komplexeres Packaging
werden vorzugsweise Kooperationen mit externen Partnern eingegangen. Im RF ProtoLab können einfache
mehrlagige Hochfrequenz-Platinen in Feinstleitertechnik am IHP hergestellt sowie Aufbautechnik in FlipChip- und Drahtbond-Technik durchgeführt werden.
Damit verbessern sich die Möglichkeiten des IHP zur
Realisierung von Höchstfrequenzschaltungen bis über
100 GHz wesentlich. Weiterführende Arbeiten im Be-
Integrated mm-wave and broadband circuits put the
highest demands on the packaging and assembly as
well as the high-frequency modelling of the chip/
package interfaces. For this reason the department
Circuit Design is building on a dual strategy: For rapid prototyping the RF ProtoLab was established and
developed. For professional complex packaging, cooperations with external partners are favored. Simple multilayer high-frequency circuit boards can be
manufactured in fine-line technique and assembly in
flip-chip and wire-bonding technique can be realized
in IHP`s RF ProtoLab. This essentially improves the
capabilities of the IHP for the realization of highfrequency circuits up to more than 100 GHz. Further
work on design technology and packaging for high
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
reich Aufbautechnik und Packaging für Hochfrequenzanwendungen erfolgen derzeit in Kooperation mit dem
Karlsruhe Institute of Technology, der IMST GmbH, dem
Fraunhofer IZM und der TU Braunschweig. Im Bereich
Photonik-/Elektronik-Integration werden gemeinsame
Forschungsarbeiten mit dem Joint Lab Silicon Photonics (IHP-TU Berlin), dem IZM, Tyco Electronics und XIO
Photonics in den Niederlanden durchgeführt.
frequency applications is currently done in cooperation with the Karlsruhe Institute of Technology, the
IMST, the Fraunhofer IZM and the TU Braunschweig.
In the area photonics/electronics integration, joint
research is conducted with the Joint Lab Silicon Photonics (IHP-TU Berlin), the Fraunhofer IZM, Tyco Electronics, and XIO Photonics in the Netherlands.
Technologieplattform für drahtlose und
Breitbandkommunikation
Technology Platform for Wireless and Broadband
Communication
Die 0,13-µm- und 0,25-µm-BiCMOS-Technologien des
IHP bieten hervorragende Möglichkeiten für hochintegrierte Mischsignal-Schaltungen. Insbesondere die
in der 0,13-µm-Technologie enthaltenen Heterobipolartransistoren (HBTs) mit Schwingfrequenzen bis
zu 300 GHz und Transitfrequenzen bis zu 250 GHz sind
für höchste Geschwindigkeitsanforderungen geeignet.
2010 wurden zusätzlich zu den 0,25-µm-Runs zwei reguläre Multi-Projekt-Wafer-Runs mit 0,13-µm-BiCMOS
gestartet. Der Abschluss der Qualifizierung der 0,13µm-Technologie ist für 2011 geplant.
IHP`s 0.13 µm and 0.25 µm BiCMOS technologies
offer excellent opportunities for highly-integrated
mixed-signal circuits. Especially the heterobipolar
transistors in the 0.13 µm technology with oscillation frequencies up to 300 GHz and transit frequencies
up to 250 GHz are particularly suitable for highest
speeds. Two regular MPW runs with 0.13 µm BiCMOS
in addition to the standard 0.25 µm runs were started
in 2010. The completion of the qualification of the
0.13 µm technology is planned for 2011.
Ein wichtiger Schwerpunkt der technologischen Aktivitäten sind Arbeiten zur weiteren Erhöhung der Grenzfrequenzen von HBTs, die im Rahmen des EU-Projektes
DOTFIVE (Towards 0.5 TeraHertz Silicon / Germanium
Hetero-junction Bipolar Technology) durchgeführt werden. Das Ziel des Projektes, HBTs mit Grenzfrequenzen
von 500 GHz zu entwickeln, wurde vom IHP erreicht. Im
Dezember 2010 wurde auf der IEDM in San Francisco
vom IHP ein HBT-Modul mit den Rekordparametern
fT / fmax = 300 / 500 GHz und 2,0 ps CML Gatterverzögerungszeit vorgestellt.
An important focus of the technological activities is
the further increasing of the frequencies of heterobipolar transistors, realized in the European project
DOTFIVE (Towards 0.5 Terahertz Silicon / Germanium
Heterojunction bipolar technology). The project goal,
development of HBTs with frequencies up to 500 GHz,
was achieved by the IHP. In December 2010 IHP presented at the IEDM in San Francisco an HBT module
with the record parameters fT / fmax = 300 / 500 GHz
and 2.0 ps CML gate delay.
Die Arbeiten zu LDMOS-Transistoren sind weiterhin sehr
erfolgreich bei der Veröffentlichung wissenschaftlicher
Ergebnisse (z.B. angenommener Beitrag zum Topical
Meeting on Silicon Monolithic Integrated Circuits in RF
Systems (SiRF) im Januar 2011) und bei der Kooperation, wo zusammen mit einem Industriepartner ein neues
Projekt eingeworben werden konnte.
The activities on LDMOS-transistors continue to be
very successful regarding the publication of scientific results (e.g. accepted contribution at the Topical
Meeting on Silicon Monolithic Integrated Circuits
in RF Systems (SiRF) for January 2011) and cooperations, where a new project was acquired together
with an industrial partner.
Annual
Repo r t
201 0
23
Das
24
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Die zukünftige Entwicklung von Technologien am IHP
und deren Anwendung in Schaltungen und Systemen
verfolgt eine „More than Moore“-Strategie. Ziel ist die
Integration von Modulen mit zusätzlicher Funktionalität in die BiCMOS-Technologie. So wird an der Verbindung von Photonik und Elektronik (Si Photonics) in
den Projekten HELIOS (Photonics Electronics functional Integration on CMOS, gefördert durch die EU) und
SiliconLight (Neuartige Lichtquellen und Komponenten
für die Si-Photonik, gefördert vom BMBF) gearbeitet.
Außerdem nimmt das IHP im Rahmen der DFG Forschergruppe FOR 653 an grundlegenden Forschungsarbeiten
zu mikrophotonischen Systemen auf Basis von SOI teil.
Im Juni 2010 wurde das Joint Lab „Si Photonik“ mit
der TU Berlin offiziell eröffnet. Damit wird die sehr erfolgreiche Zusammenarbeit IHP-TU Berlin weiter intensiviert. Ausdruck der bereits sehr guten internationalen
wissenschaftlichen Ausstrahlung der Zusammenarbeit
IHP-TU Berlin ist die Einwerbung eines weiteren EU
Projektes (GALACTICO - blendinG diverse photonics And
eLectronics on silicon for integrAted and fully funCTIonal COherent Tb Ethernet). Das IHP ist Koordinator
dieses im Oktober 2010 gestarteten Projektes.
The future development of technologies at the IHP
and their applications in circuits and systems follows
a “More than Moore” strategy. The aim is the integration of modules with additional functionality in
the BiCMOS technology. In this context activities to
connect photonics with electronics (Si photonics)
are running in the projects HELIOS (Photonics Electronics functional Integration on CMOS, supported by
the EU) and SiliconLight (New light sources and components for Si photonics, supported by the BMBF). In
addition, IHP works in the DFG research group FOR
653 on basic research for SOI-based micro-photonic
systems. The Joint Lab “Si Photonics” together with
the TU Berlin was officially opened in June 2010.
With this lab the successful cooperation IHP-TU Berlin is being reinforced. Evidence of the already excellent international scientific impact of the cooperation IHP-TU Berlin is the acquisition of a further
EU project (GALACTICO - blendinG diverse photonics
And eLectronics on silicon for integrAted and fully
funCTIonal COherent Tb Ethernet). IHP is the coordinator of this project, which started in October 2010.
Das IHP nimmt am BMBF-Projekt „Kompetenznetzwerk
für Nanosystemintegration“ als einer der Hauptpartner
teil. Damit werden die Arbeiten des Institutes zur Integration von MEMS in BiCMOS-Technologien gefördert, die
strategische Bedeutung für die Weiterentwicklung der
Anwendungsmöglichkeiten für innovative Schaltungen
und Systeme haben. Die Arbeiten zur Integration von
MEMS Komponenten (RF Switch) in eine BiCMOS-Technologie verlaufen sehr erfolgreich sowohl hinsichtlich
der Publikation wissenschaftlicher Ergebnisse als auch
hinsichtlich der Einwerbung weiterer Drittmittel. Bei
der IEDM 2010 stellte das IHP in BiCMOS eingebettete
RF-MEMS Schalter für Anwendungen oberhalb 90 GHz
vor, die mit Hilfe von Rückseitenätzen realisiert wurden. Auf der Konferenz SiRF 2010 erhielt der Projektleiter Herr Kaynak einen Preis für das beste Paper. Im
Oktober 2010 startete ein neues EU-Projekt FLEXWIN
(Flexible Microsystem Technology for Micro- and Millimetre-Wave Antenna Arrays With Intelligent Pixels),
was Ausdruck der weiter verbesserten internationalen
Sichtbarkeit des IHP auf dem Gebiet MEMS ist.
The IHP is one of the main partners of the BMBF funded project “Competence network for the integration
of nanosystems”. In this project IHP`s activities for
the integration of MEMS into BiCMOS technology are
supported, which are of strategic importance for the
further development of the application spectrum for
innovative circuits and systems. IHP`s results of the
integration of MEMS components (RF switch) into a
BiCMOS technology are very successful, both in publishing scientific results and in the acquisition of
project funding. At the IEDM 2010 IHP presented RFMEMS switches for applications above 90 GHz which
were realized using backside etching. The project leader Mr. Kaynak received a best paper award at the SiRF
conference. The new EU-Project FLEXWIN (Flexible
Microsystem Technology for Micro- and MillimetreWave Antenna Arrays With Intelligent Pixels) started
in October 2010, thus reflecting the improved international visibility of the IHP in the field of MEMS.
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Materialien für die Mikro- und Nanoelektronik
(einschließlich Joint Lab IHP / BTU Cottbus)
Materials for Micro- and Nanoelectronics
(including the Joint Lab IHP/BTU Cottbus)
Im Bereich „Front-End-of-Line“ (FEOL) konnten 2010
durch Materialforscher und Halbleitertechnologen des
IHP Germanium-Nanostrukturen hoher Qualität mit
innovativen Wachstumsansätzen für künftige photonische Anwendungen realisiert werden. Zentraler Ansatz ist hierbei das selektive Wachstum von Germanium
mittels Chemischer Gasphasenabscheidung (CVD) auf
freistehenden Silizium-Nanostrukturen. Hierbei ermöglicht die reduzierte Dimension der Germanium-Strukturen einen 3D Spannungsabbau sowie das Ausgleiten
der Versetzungen zu den Randbereichen; ebenfalls eine
Spannungsverteilung zwischen Germanium und Silizium-Nanostrukturen wurde theoretisch vorhergesagt,
konnte aber experimentell bis dato noch nicht eindeutig belegt werden. In 2011 wird mit Hilfe kleinerer
Germanium / Silizium-Nanostrukturen bis hinab in den
Bereich von 50 nm die Bedeutung dieses „compliant
substrate“ Ansatzes für die Erzeugung hochqualitativer Germanium-Nanostrukturen weiter intensiv untersucht. Hochauflösende Röntgenbeugung an modernen
Synchrotrons ist hierbei von zentraler Bedeutung.
The “front-end of line” (FEOL) group collaborated
very closely with the colleagues from the Technology
department to develop new innovative growth approaches for Germanium (Ge) nanostructures in view
of future photonics applications. Selective growth of
Ge by chemical vapour deposition (CVD) on free standing, nanopatterned Silicon (Si) wafers was in the
focus. The reduced dimensions of the Ge nanostructures allow dislocations to glide out to the edges
and misfit strain to relax by 3D strain relief mechanisms. Furthermore, strain partitioning phenomena
between Ge and Si nanostructures were theoretically
predicted, but clear experimental proof was not yet
reported. Therefore, the fabrication of high quality Ge
nanostructures on Si stripe and pillar structures smaller than 50 nm will be further investigated in 2011.
The use of high resolution X-ray diffraction at modern
3rd generation synchrotron facilities with high sensitivity and resolution on the nano-scale will be a key
ingredient for studying the presence of compliant effects in Ge / Si nanostructures.
Auf dem Gebiet „Back-End-of-Line“ (BEOL) arbeiteten
Materialforscher, Halbleitertechnologen und Mitarbeiter der Abteilung System Design an der Integration sogenannter „embedded nonvolatile memory“ (e-NVM)
Module in die BiCMOS-Technologie des IHP für künftige
Sensornetzanwendungen. Der sogenannte RRAM Speicheransatz beruht hier auf HfO2-basierten Metall-Isolator-Metall Speicherzellen, deren Widerstand durch
elektrische Impulse reversibel geschaltet werden kann.
Ein wichtiges Ergebnis in 2010 ist die Realisierung von
1 Transistor – 1 Resistor (1T 1R) Teststrukturen, die
einerseits mit dem IHP Silizium-Prozess kompatibel
sind und andererseits verbesserte Schalteigenschaften
gegenüber einfacheren 1R Architekturen zeigten. Die
Frage nach dem physikalischen Schaltmechanismus ist
The group, working for “back-end of line” (BEOL) integration, jointly cooperated with the departments of
Technology and System to integrate so-called “embedded non-volatile memory” (e-NVM) structures in
IHP´s Si BiCMOS technology process for future sensor
network applications. The RRAM memory principle is
based here on HfO2 metal-insulator-metal (MIM) memory cells in which the resistance can be reversibly
switched between a high and low resistance state by
application of electrical pulses. The most important
result in 2010 was the realization of fully IHP Si technology compatible 1 transistor – 1 resistor (1T 1R)
test array structures, which, in addition, show superior switching characteristics compared to simpler 1
R architectures. The physical mechanism responsib-
Annual
Repo r t
201 0
25
Das
26
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
für HfO2-basierte RRAM Zellen nur unzureichend verstanden. Zu diesem Zweck wurden an dem kürzlich in
Betrieb gegangenem Synchrotron Petra III in Hamburg
mit Hilfe der neuen Technik HA-XPES (Hard energy Xray photoelectron spectroscopy) zerstörungsfreie Untersuchungen durchgeführt, die den chemischen und
elektronischen Zustand der MIM-Strukturen als Funktion des Schaltzustandes mit hoher Sensitivität und
Auflösung aufzeichnen können. Diese HA-XPES Studien werden in 2011 auf das „in-situ“ Schalten von MIM
Strukturen ausgeweitet.
le for the switching in the HfO2 based RRAM is not
yet fully understood. For this reason, non-destructive and highly sensitive HA-XPS (Hard energy X-Ray
photoelectron spectroscopy) materials science investigations at the newly commissioned Petra III Synchrotron in Hamburg started to extract chemical and
electronic information of RRAM MIM structures. These
HA-XPS studies will be extended in 2011 to monitor
“in-situ” switching phenomena of RRAM MIM cells.
Bei der erkundenden Materialforschung stand 2010
die Untersuchung innovativer Graphen-basierter Bauelemente für die künftige Silizium-Mikroelektronik im
Fokus. Gemeinsam mit dem Gastwissenschaftler Prof.
Ya-Hong Xie von der University of California Los Angeles (UCLA), der in 2010 für zwei Monate mit dem
IHP eng zusammen arbeitete, wurde hierzu eine Evaluierungsstudie angefertigt, deren zentrale Festlegung
die Erforschung eines Bauelementes mit der Bezeichnung „Graphene base transistor“ (GBT) ist. Das GBTBauelement wurde 2009 vom IHP patentiert und wird
in enger Zusammenarbeit mit der Abteilung Circuit
Design am IHP auf sein Potential in Bezug auf THzAnwendungen theoretisch analysiert. Parallel hierzu
werden im Bereich der Grundlagenforschung wichtige
Prozesschritte für die lokale Erzeugung von GraphenSchichten mittels Abscheideverfahren untersucht. Ein
zentraler Schritt für den Erfolg ist hierbei das direkte
Wachstum von Graphen auf Isolatoren, um aufwendige
„layer transfer“-Schritte in der Bauelementeprozessierung zu vermeiden. Mit Hilfe eines Van der Waals Epitaxie Ansatzes gelang am IHP in 2010 die Erzeugung
von Graphen-Schichten auf Silikatträgerkristallen mittels Kohlenstoffabscheidung. Im Jahr 2011 steht bei
diesem Verfahren die Optimierung der Qualität der Graphenschichten sowie deren Übertragung auf Silizium
im Vordergrund.
The focus of the “Exploratory materials research
group” in 2010 was dedicated to graphene-based
concepts for future Silicon microelectronics. An innovative device concept, the so called “graphene
base transistor” (GBT), was patented in 2009 by IHP
and is currently theoretically evaluated in close collaboration with IHP´s Circuit Design department in
terms of its high frequency properties. Furthermore,
in close collaboration with IHP´s guest scientist Prof.
Ya-Hong Xie from the University of California Los Angeles (UCLA), first experimental work started and will
go on in 2011 to realize and evaluate GBT modules
in practice. Simultaneously, research activities in the
field of graphene thin film synthesis are in progress.
The main goal here is the direct growth of graphene
on insulators to avoid complex “layer transfer” steps
in the process flow of graphene devices for Si microelectronics. By implementing the Van der Waals epitaxy approach, graphene deposition on silicate supports
was demonstrated by IHP in 2010. The optimization
and the improvement of the quality of the graphene
films as well as the transfer of the growth concept to
Si will be the main goals in 2011.
An n ual
R e p or t
2 01 0
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Das Joint Lab IHP / BTU arbeitet auf dem Gebiet der
Si-Photonik im Rahmen des vom BMBF geförderten
Projektes SiliconLight an einer Si-basierten MIS-LED
unter Nutzung von dünnen ‚High-k‘-Schichten und
durch Waferbonden erzeugten Versetzungsnetzwerken.
Grundlagen dafür wurden mit dem bereits 2008 abgeschlossenen Projekt SiLEM (Silizium-Lichtemitter) gelegt. Erstmals konnte – in einer gemeinsamen Arbeit
des Joint Lab mit der Universität Stuttgart – eine i-Geon-Si LED demonstriert werden, die bei der geforderten
Wellenlänge von 1,55 µm emittiert, da sie auf einer unverspannten Germanium-Schicht basiert.
The Joint Lab IHP / BTU is working in the field of silicon photonics within the BMBF-supported project
SiliconLight on Si-based MIS-LED using thin High-klayers and dislocation networks, generated with wafer
bonding. The basic ideas for this were generated in
the project SiLEM (Silicon Light Emitter), which was
finished in 2008. In cooperation of the Joint Lab with
the University of Stuttgart an i-Ge-on-Si LED was demonstrated, which emits at a wavelength of 1.55 µm,
because it bases on an unstrained Germanium layer.
In Zusammenarbeit mit dem MPI Halle und dem Forschungszentrum Jülich konnte gezeigt werden, dass die
Integration von Versetzungsnetzwerken in den Kanal
von MOS-FETs den Drainstrom signifikant erhöht, auch
für kleine Drain- und Gate-Spannungen.
Together with the MPI Halle and the Research Center
Jülich, it was demonstrated that the integration of
dislocation networks in the channel of MOS-FETs will
significantly increase the drain current, even for low
drain- and gate-voltages.
Die sehr stark erhöhte Leitfähigkeit in Versetzungsnetzwerken könnte auch für die Herstellung Si-basierter thermoelektrischer Generatoren mit hohem ZT-Wert
(beschreibt die Effizienz thermoelektrischer Materialien) von Bedeutung sein. Diese Fragestellung ist
Gegenstand des BMBF-Projektes SiGe-TE (Silizium- und
Silizium-Germanium-Dünnfilme für thermoelektrische
Anwendungen).
The very strong increase of the conductivity in dislocation networks is also usable for producing Si-based
thermoelectric generators with a high ZT-value (describes the efficiency of thermoelectric materials).
This topic is subject of the BMBF funded project SiGeTE (silicon and silicon germanium thin films for thermoelectric applications).
Im Projekt SINOVA wurden gemeinsam mit der RWTH
Aachen und anderen Partnern – aufbauend auf den Ergebnissen des in 2008 erfolgreich abgeschlossenen Projektes Bandstrukturdesign – grundlegende Arbeiten zu
Herstellung und Eigenschaften von Multi-Quantumwells
aus nanokristallinen Silizium-Schichten durchgeführt.
Derartige Schichtsysteme sind u.a. für Solarzellen der
3. Generation von großem Interesse. Schwerpunkt der
Arbeiten am Joint Lab IHP / BTU Cottbus ist die Kristallisation der Schichten mittels neuartiger Verfahren.
In the project SINOVA basic research for manufacturing and properties of multi quantum wells from
nanocrystalline silicon layers is carried out together
with the RWTH Aachen and other partners. This work
builds on the results of the project bandstructure design, which was successfully finished in 2008. These
layer systems are among others of high interest for
3rd generation solar cells. Focus of the activities at
the Joint Lab IHP / BTU Cottbus are new layer crystallization techniques.
Die Kooperation mit der Siltronic AG auf dem Gebiet zukünftiger Si-Wafer wurde kontinuierlich fortgeführt. Im
Rahmen eines Forschungsprojektes werden experimentelle und theoretische Arbeiten zur Sauerstoffpräzipitation durchgeführt.
The cooperation with the Siltronic AG for future silicon wafers was continued. Within a research project,
experimental and theoretical investigations on oxygen precipitates were conducted.
Annual
Repo r t
201 0
27
Das
J ahr
2 0 1 0
Auf dem Gebiet Silizium für die Photovoltaik wurden
die Arbeiten zum BMU-geförderten Forschungscluster SolarFocus erfolgreich abgeschlossen. Im Projekt
wurden durch das Joint Lab IHP / BTU die Wechselwirkungen zwischen Verunreinigungen und Kristalldefekten in Solarsilizium untersucht und dabei Methoden der Synchrotron-Mikroskopie weiterentwickelt und
angewandt, die die Analyse kleiner Ausscheidungen
erlauben. In 2011 werden die Arbeiten in einem Anschlussprojekt fortgesetzt, welches das Verhalten von
hochreinem multikristallinem Silizium zum Inhalt hat.
In den Arbeiten zum Photovoltaik-Projekt AVANTSolar
ging es darum, die Entwicklung verbesserter Verfahren
zur Herstellung von Solarsilizium durch die am Joint
Lab bestehende Expertise zur elektrischen Aktivität von
Kristalldefekten und spezifische diagnostische Verfahren zu unterstützen. In einem weiteren Projekt wurde
die Nutzung neuartiger Si-Materialien untersucht, die
für die energieeffizientere Herstellung von Solarzellen
bei der Conergy SolarModule GmbH eingesetzt werden
sollen. Dabei wurde eine photolumineszenzbasierte
Technik zur Abbildung von Kristalldefekten in Solarsilizium realisiert. Im Bereich der Dünnschicht-Photovoltaik beteiligt sich das Joint Lab mit seiner Expertise
auf dem Gebiet Diagnostik und Materialforschung am
„Kompetenzzentrum Dünnschicht- und Nanotechnologie Photovoltaik Berlin (PVComB)“.
28
An n ual
R e p or t
2 01 0
–
U p d ate
2 0 1 0
In the area of silicon for photovoltaics the activities
in the BMU funded project research cluster SolarFocus
were finished successfully. In this project, the interaction between impurities and crystal defects in solar
silicon was investigated in the Joint Lab. During this
work, synchrotron-microscopic methods which allow
analyzing small precipitates were refined and applied.
It is planned to continue this work in 2011 in a successor project which will concentrate on the behaviour of high purity multicrystalline silicon. Goal of the
research in the project AVANTSolar is to support the
development of better techniques for the production
of solar silicon, using expertise on electrical activity
of crystal defects and specific diagnostic techniques.
In another project the use of new silicon materials
for the energy efficient production of solar cells at
the company Conergy SolarModule GmbH was started.
Here, a technique based on photoluminescence for
the imaging of crystal defects in solar silicon was realized. In the area of thin film photovoltaics the Joint
Lab is working with its expertise in diagnostics and
materials research in the Competence Centre ThinFilm- and Nanotechnology for Photovoltaics Berlin
(PVComB).
Das
J ahr
2 0 1 0
–
U p d ate
2 0 1 0
Matthias Platzeck, Ministerpräsident des Landes Brandenburg, bei seinem IHP-Besuch am 3. März 2010. Prof. Peter Langendörfer demonstriert die
Forschungsergebnisse des BMBF-Projektes „FeuerWhere“.
Matthias Platzeck, the Minister President of Land Brandenburg, visiting the IHP on the 3rd of May 2010. Prof. Peter Langendörfer
demonstrating the research results of the BMBF (Federal Ministry of Education and Research) Project “FeuerWhere”.
Annual
Repo r t
201 0
29
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Selected Projects
30
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
OMEGA
OMEGA
OMEGA ist ein Projekt im 7. Forschungsrahmenprogramm der EU. Ziel des Projektes ist es, zukünftige
Heim-Netzwerke (HANs), d.h. Netzwerke für sehr hohe
Datenraten von etwa 1 Gbps zu entwickeln, für die aber
keine zusätzliche Verdrahtung benötigt wird. Dafür
ist die Integration verschiedener Netzwerktechnologien erforderlich, sowohl drahtgebundener (Ethernet,
Power Line Communication usw.) als auch drahtloser
(Wireless LAN, sichtbares Licht oder Infrarot-Kommunikation). Bisher gab es jedoch noch keine wesentlichen
Aktivitäten zur Zusammenführung all dieser Technologien zu einem kohärenten Netzwerk.
The project OMEGA is funded under the EU‘s Seventh
Framework Programme. It focuses on future Home
Area Networks (HANs), i.e. networks supporting very
high capacity of approx. 1 Gbps, but without additional wiring. Such an approach requires the integration of various network technologies, wired (Ethernet,
Power Line Communication, etc.) and wireless (Wireless LAN, Visible Light or Infrared Communication).
However, up to now, no significant work has been
done to integrate all these physical technologies into
one coherent framework.
Im Projekt OMEGA wird eine neue, auf dem InterMAC-Konzept basierende Lösung vorgestellt, die verschiedene Technologien transparent zu einem Hochgeschwindigkeits-Heimnetz integriert. Mit anderen
Worten, InterMAC bietet eine durchgehende Verbindung
mit garantierter Dienstgüte bei Nutzung verschiedener
Technologien. Im Ergebnis erhält ein Endnutzer im
Heimnetz qualitativ hochwertige Verbindungen, wobei
das gerade verwendete Medium (Ethernet, Wireless LAN
usw.) für ihn unerheblich ist.
OMEGA introduces a novel solution based on the InterMAC concept, which integrates various underlying
technologies transparently into a high speed home
network. In other words, InterMAC provides end-toend connectivity with guaranteed Quality of Service
(QoS) using different underlying technologies. As a
result, an end user gets high quality connections in
the home network and does not need to care which
medium – Ethernet, wireless LAN, etc. – is currently
being used.
Generell schafft das InterMAC einen Weg durch ein heterogenes HAN, um zwei Geräte miteinander zu verbinden.
Wenn es mehrere alternative Wege gibt, wählt das InterMAC den Weg aus, der die erforderliche QoS (Quality of
Service) ermöglicht, z.B. die QoS für Multimedia-Daten.
Zusätzlich leitet das InterMAC Daten über ein anderes
Medium (Handover), wenn es Übertragungsprobleme
bei einer bestimmten Technologie erkennt, wie z.B.
Störungen bei drahtloser Übertragung.
In general, to connect two devices, the InterMAC establishes a path through the heterogeneous HAN. If
several alternative paths are available, the InterMAC
selects the one which fulfils the required QoS, e.g.
QoS of multimedia streams. Moreover, if the InterMAC
detects communication problems for a certain technology, e.g. interferences in the wireless medium, it
routes data over another medium, referred to as handover.
Selbstverständlich muss das InterMAC die Leistungsfähigkeit der zu Grunde liegenden Übertragungs-Technologien beachten. Es muss jedoch unterhalb der Vermittlungsschicht implementiert werden, da die Protokolle
der Netzwerkebene, wie beispielsweise das InternetProtokoll, Technologie-agnostisch sind, das heißt, sie
erkennen die darunter liegenden Technologien nicht.
Deshalb wurde die InterMAC zwischen der 2. Ebene
(Data Link) und der 3. Ebene (Netzwerk) des OSI (Open
System Interconnect) Modells positioniert.
Clearly, the InterMAC must consider the capabilities
of the underlying transmission technologies. However, it must be performed below the network layer,
as network layer protocols, such as the Internet Protocol, are technology-agnostic, i.e. not aware of underlying technologies. Thus, we placed the InterMAC
between the Layer 2 (Data Link Layer) and the Layer 3
(Network Layer) of the Open Systems Interconnection
(OSI) model.
Annual
Repo r t
201 0
31
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Abb. 1: InterMAC Architektur auf Linux: Die Datenebene befindet sich im Kernel-Space, die Kontrollebene im User-Space.
Fig. 1: InterMAC architecture on Linux: Data Plane in kernel space and Control Plane in user space.
32
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Damit die Lösung portierbar ist, wurde der InterMACCore im Projekt OMEGA als Cross-Plattform-Software
in der Programmiersprache C entwickelt und die hardwarespezifische Implementierung in der HardwareAbstraction Layer (HAL) realisiert. Offensichtlich wird
für jede Hardware-Plattform, auf der das InterMAC genutzt werden soll, eine eigene HAL-Version benötigt. Einfach gesagt liefert HAL Zugang zu Netzwerk-Interfaces
(senden bzw. empfangen von Frames) und zu Services
des Betriebssystems (Timer, Interprozesskommunikation). Bisher wurden durch das Projekt OMEGA HALs für
die folgenden Plattformen realisiert: x86 Linux im Kernel- und Nutzermodus, PowerPC, synthetisiert auf FPGAXilinx Virtex 4.
To support portability, OMEGA developed the InterMAC core as cross-platform software (in the C programming language) and ‘hid’ the hardware-specific
implementation in the Hardware Abstraction Layer
(HAL). Clearly, each hardware platform running the
InterMAC must provide its version of HAL. In short,
HAL provides access to network interfaces (sending /
receiving frames) and to operating system services
(timers, inter-process communication primitives). To
date OMEGA has provided HALs for the following platforms: x86 Linux in kernel and user mode, PowerPC
synthesized on FPGA Xilinx Virtex 4.
In Heimnetzen mit einer Leistungsfähigkeit von 1 Gbps
kann ein OMEGA-Gerät bis zu 100.000 Frames pro Sekunde weiterleiten. Da das InterMAC jeden empfangenen Frame vor der Weiterleitung anpasst, kann daraus
ein signifikanter Overhead entstehen, der im Netzwerk
Verzögerungen und eine Verringerung der Performance
verursacht. Deshalb wird die InterMAC-Architektur aufgespalten in die Datenebene (zeitkritische Aufgaben
wie die Weiterleitung von Frames) und die Kontrollebene (Aufgaben mit geringerer Priorität, z.B. die Ermittlung von Wegen in einem heterogenen Netzwerk). In
der ersten, auf dem Betriebssystem Linux basierenden
Testumgebung wird, wie in Abb. 1 dargestellt, die Datenebene im Kernel-Space ausgeführt um schnelle Weiterleitungen zu ermöglichen. Weil die Funktionen in
der Kontrollebene einen nicht so kritischen Zeitrahmen
haben wie in der Datenebene, werden sie im User-Space
von Linux ausgeführt.
In home networks with a capacity of 1 Gbps an OMEGA
device can forward 100,000 frames per second. Since
the InterMAC adapts each received frame before forwarding, this may result in a significant processing
overhead, causing network delays and performance
losses. Therefore, we split the InterMAC architecture
into the Data Plane (time critical tasks like frame forwarding) and the Control Plane (tasks of a lower priority, e.g. path detection in a heterogeneous network).
In our primary testbed, based on the Linux operating
systems, we execute the Data Plane in the kernel
space in order to support high speed forwarding, as
depicted in Fig. 1. As the Control Plane tasks do not
have such critical time constraints as the Data Plane,
they run in the Linux user space.
Annual
Repo r t
201 0
33
A usge w ä hlte
34
P rojekte
–
S electe d
P rojects
In der in den Abb. 2 und 3 dargestellten Testumgebung wurden vier heterogene Technologien integriert:
Gigabit-Ethernet, Power-Line-Communication, 60 GHz
Wireless und IEEE 802.11n Wireless-LAN. Es wurde
experimentell nachgewiesen, dass das auf Linux basierende InterMAC, bei dem die Datenebene im KernelSpace ausgeführt wird, eine sehr schnelle Weiterleitung
(1 Gbps) von InterMAC Frames in heterogenen Netzwerken unterstützt, sogar auf typischen Desktop-Computern. Darüber hinaus realisiert das InterMAC einen Multi-Technologie Handover, beispielsweise von Ethernet
zu 60 GHz Wireless, sehr schnell und ohne signifikanten
Qualitätsverlust in einem weitergeleiteten Film.
In the testbed, presented in Figs. 2-3, we integrated
four heterogeneous technologies: Gigabit Ethernet,
Power Line Communication, 60 GHz Wireless and IEEE
802.11n Wireless LAN. Our experiments proved that
the InterMAC based on the Linux, with the Data Plane
running in the kernel space, supports very high speed
forwarding (1 Gbps) of InterMAC frames in heterogeneous networks, even on typical desktop computers.
Moreover, the InterMAC performs a multi-technology
hand-over, e.g. from Ethernet to 60 GHz Wireless, very
quickly without significant quality losses in the forwarded movie stream.
Die Besonderheit des OMEGA-Netzwerkes ist dessen
Kompatibilität mit Nicht-OMEGA-Geräten. Mit anderen
Worten, ein Nutzer innerhalb des Hauses kann jedes beliebige existierende Gerät, wie beispielsweise eine Standard Settop-Box, in einem auf dem InterMAC-Konzept
basierenden Heimnetz betreiben. So kann ein zukünftiges Heimnetz ältere Geräte so anpassen, dass sie in
der neuen Umgebung funktionieren.
The important feature of the OMEGA network is the
compatibility with non-OMEGA devices. In other
words, a home user can connect any legacy device,
like an off-the-shelf set-top box, to the home network
based on the InterMAC concept. In this way, a future
home network can adapt old devices to operate in the
new environment.
Abb. 2: Die in der Testumgebung genutzte Ausrüstung für Power
Line Communication.
Fig. 2: Power Line Communication equipment used in the
testbed.
Abb. 3: Drahtlose 60 GHz Technologie, verbunden mit dem
OMEGA-Netzwerk.
Fig. 3: Wireless 60 GHz technology connected to OMEGA
network.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
RealFlex
RealFlex
Ziel dieses vom BMBF unterstützten Projektes ist die
Flexibilisierung der Architektur von Automatisierungssystemen durch die Integration zuverlässiger echtzeitfähiger drahtloser Sensorknoten. Hierdurch können erhebliche Optimierungspotenziale im Bereich der Installation, des anlagennahen Asset Managements und des
Condition Monitorings erschlossen werden.
The goal of this BMBF funded project is the flexibilization of automation systems architectures by the
integration of reliable wireless sensor nodes that allow real-time processing. Thereby, substantial potential for optimization could be established within the
range of the installation, the asset management and
condition monitoring of such automation systems.
Drahtlose Systeme können die Flexibilität deutlich erhöhen, haben aber auf Grund der Eigenschaften von
Funksystemen Schwächen in der Zuverlässigkeit, der
Echtzeitfähigkeit und der Quality of Service. Zusätzlich
müssen sich drahtlose Automatisierungskomponenten
nahtlos in drahtgebundene Lösungen integrieren und
einfach konfigurieren lassen.
Wireless systems can clearly increase the flexibility.
However, they also have severe weaknesses due to the
inherent characteristics of radio systems. This affects
the reliability, the real-time ability and the quality
of service. Additionally, wireless automation components must integrate seamlessly into existing wirebound solutions and allow simple configuration.
Dies ist die Themenstellung von RealFlex. Dabei wurden im Projekt auf der Basis von etablierten Standards
drahtlose Komponenten und Teilsysteme untersucht,
weiterentwickelt und letztendlich realisiert, die die
hohen Qualitätsansprüche erfüllen können und damit
eine weitere Verbesserung der Marktchancen der deutschen Industrie ermöglichen. Im Rahmen des Projektes
RealFlex wurden hierfür sowohl die Prozess- als auch
die Fertigungsautomatisierung betrachtet.
This is the subject of RealFlex. On the basis of existing standards, wireless components and subsystems
were evaluated and integrated to fulfill the high requirements concerning the communication quality of
wireless systems. The successful realization of these
goals promises a further improvement of the market
potential of the German automation industry. The
RealFlex project considered both the process automation and the factory automation.
Abb. 4a: Simulationsumgebung zum Testen der Protokolle für
Zuverlässigkeit und Sicherheit der drahtlosen Kommuni kation in der Fabrikautomatisierung.
Fig. 4a: Simulation environment for testing new protocols to
foster reliablity and security for wireless communication
in factory automation.
Annual
Repo r t
201 0
35
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Um dieses Ziel zu erreichen wurden verschiedene Funksysteme und Protokolle auf ihre Eignung analysiert
(Abb. 4a) und durch den Einsatz in praxisnaher Umgebung evaluiert (Abb. 4b). Für das Applikationsumfeld
Prozessautomatisierung standen eine Reinwassergewinnungs- und eine Biogasanlage zur Verfügung. Die
stabile Übermittlung von Sensor- und Aktorsignalen
über größere Entfernungen, die für die Prozessautomatisierung bedeutend sind, wurde mit langfristigen Tests
in der Praxis verifiziert.
To realize this goal different radio systems and protocols were analyzed for suitability (Fig. 4a) and evaluated in the context of different application environments in line with standard usage (Fig. 4b). In the
area of process automation two real-world application scenarios were considered: a waterworks facility
and a biological gas facility. Both scenarios required
the robust transmission of sensor and actuator signals over larger distances, which are important for
the process automation. Long-term tests executed in
practice on the facilities verified the practicability of
our approach.
Dabei war es wichtig, dass die Eignung des Funksystems
für Anwendungen in der Fertigungsautomatisierung
nachgewiesen wird. Als eine zentrale Anforderung galt
es, Daten einer Vielzahl von Sensorereignissen über Profinet mit geringer Latenz bereitzustellen. Dies konnte
mit Hilfe einer Roboterzelle demonstriert werden. Erstmalig wurde auch ein System zur drahtlosen Übermittlung von IO-Link eingesetzt. Zudem kam eine neuartige
Funktechnologie zum Einsatz, die den Anforderungen
im besonderen Maße Rechnung trug.
It was further important to demonstrate the suitability of the radio system for applications in factory
automation systems. A major requirement here is the
data availability of a multiplicity of sensor events
over Profinet with small latency. This was demonstrated in a robot cell. In this context it was possible to
demonstrate a system with integrated wireless transmission of IO-Link information for the first time. This
was realized by introducing a new radio technology
that allowed for the special requirements.
Abb. 4b: RealFlex ist eine System-Architektur für verschiedene Einsatzzwecke in der Automatisierungstechnik.
Fig. 4b: The RealFlex architecture can be applied to a wide range of automation systems.
36
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
Herzstück aller bezeichneten Systeme ist der „Realflex-Access-Point“ (Abb. 5). Dieser verbindet die Anforderungen der Prozess- und der Fertigungsautomatisierung in einer einheitlichen Architektur und bietet
neben der Funkkommunikation zu den Sensoren und
der Bereitstellung der Daten über Profinet an die Steuerungen auch neuartige Management-, Sicherungs- und
Verschlüsselungskonzepte.
–
S electe d
P rojects
The heart of all designated systems is “the Realflex
Access Point” (Fig. 5). It connects the requirements
of the process and the factory automation in a uniform architecture and provides flexible radio communication to the sensors and the supply of real-time
data over Profinet in addition to novel concepts for
management, safety and data security.
Abb. 5: Der RealFlex-Access-Point (blauer Hintergrund) unterstützt
vielfältige Funkprotokolle für den Anschluss von neuartiger
Peripherie (gelb) für existierende Systembusse (grün).
Fig. 5: The RealFlex access point (blue background) supports
numerous radio protocols for connecting novel devices
(yellow) to existing system busses (green).
Annual
Repo r t
201 0
37
A usge w ä hlte
P rojekte
–
S electe d
P rojects
SolarFlex: drahtloses Management
für Solarkraftwerke
SolarFlex: Wireless Management
for Solar Power Plants
Ziel des Projektes ist es, eine flexible drahtlose
Management-Schnittstelle für mittlere und große Wechselrichternetze von Solarkraftwerken zu entwickeln.
Die Innovation besteht darin, eine selbstorganisierende, selbstheilende und wartungsfreie Netzstruktur auf
Basis eines Bluetooth-Scatternet zu implementieren.
Goal of the project is to develop a flexible wireless
management network for medium-size to large solar
power plants. The challenge is to implement a selforganizing and self-healing network on the basis of
a Bluetooth scatternet which requires minimal maintenance effort.
Solarkraftwerke bestehen aus bis zu einigen hundert
Solarkollektoren, jeweils mit einem Wechselrichter
ausgerüstet (Abb. 6). Das System ist offensichtlich
verdrahtet, dennoch besteht großes Interesse, eine
drahtlose Kommunikationslösung zur Steuerung und
Überwachung zu realisieren. Ziel ist dabei, den Aufwand
bei Konzipierung und Errichtung zu reduzieren.
Solar power plants consist of up to several hundred
collectors, each with a DC-to-AC converter (Fig. 6).
The system is manifestly wired, but there is still a
large interest in using wireless communication for
control and monitoring of the units. The driving force
is to reduce the capital expenditure during system
set-up.
Abb. 6: Solarkraftwerk mittlerer Größe.
Fig. 6: Medium-sized solar power plant.
Wegen der geringen Kosten soll die Funktechnologie
Bluetooth eingesetzt werden. Ursprünglich für die
paarweise Vernetzung von Geräten wie Computer, Kopfhörer usw. entwickelt, wurde bereits im Standard die
Vernetzung vieler Stationen als „Scatternet“ vorgesehen. Dieses ist recht komplex, da jede Station maximal
mit 7 anderen (in der Praxis 3-4) verbunden sein kann
und eine Master-Slave-Anordung eingehalten werden
muss. Zur Zeit gibt es noch keinen geeigneten Algorithmus, der ohne manuelle Konfiguration ein geeignetes
Multi-hop Netz aufbaut, dieses im Fehlerfall automatisch repariert, auf große Systeme skalierbar ist, und
dessen Robustheit und Verlässlichkeit für große Knotenzahlen nachgewiesen wurde.
38
An n ual
R e p or t
2 01 0
The network is to be built up out of Bluetooth transceivers because of their low cost. Bluetooth was originally designed for pairwise communication between
computers, headphones etc., but support for larger
“scatternets” is included in the standard. Scatternets
are complex because each node can only connect to
7 others (in practice, 3 to 4) and because master-slave relationships must be maintained. Currently, no
suitable practical algorithm exists which can build
up the multi-hop scatternet autonomously, repair it
automatically, scales well to large systems, and has
been verified to be robust and reliable for systems of
a few hundred nodes.
A usge w ä hlte
P rojekte
–
S electe d
P rojects
In dem vom BMWi über das zentrale Innovationsprogramm Mittelstand (ZIM) finanzierten Projekt SolarFlex entwickelt das IHP den Algorithmus und die dazugehörige Software, während die lesswire AG (Prettl
AG) als Partner die Hardware bereitstellt. In Anlehnung
an das publizierte Verfahren SHAPER wurde eine erhebliche Weiterentwicklung durchgeführt. Kernidee ist,
dass in allen Teilen Unternetze entstehen, die sich nach
und nach vereinigen. Dabei muss sich ein Unterbaum
zuerst umbauen, um die Master-Slave-Bedingungen im
verschmolzenen Baum einzuhalten. Sobald ein Knoten
im Baum der vorgesehenen Wurzel liegt, beteiligt er sich
an einer Optimierung, die die Tiefe (also: die maximale
Anzahl von Hops zwischen Wurzel und jedem anderen
Knoten) minimiert. Problematisch ist, dass in allen Teilen des Netzes gleichzeitig Operationen durchgeführt
werden. Ein innovatives System von „Locks“ und anderen Maßnahmen war notwendig, damit in jedem Fall ein
gültiger Baum entsteht.
Within the project SolarFlex (financed by the BMWi
via the ZIM initiative) the IHP develops the networking algorithm and the related software while lesswire
(Prettl AG) supplies the hardware. In a major extension of the published SHAPER approach, a practical
method was developed which allows subnets to arise
simultaneously in different areas and then merge into
a single network. Subtrees must reconfigure before
merging in order to maintain the correct master-slave
arrangement in the merged tree. As soon as a node
enters the network containing the designated root
node, it participates in an optimization to minimize
the tree depth (i.e., the maximal number of hops
between the root and any other node). The difficulty
lies in the fact that operations are done simultaneously in all parts of the network. An innovative system of locks and similar measures guarantees that a
valid tree arises in each case.
Der entwickelte Algorithmus wurde mittels Simulation
erarbeitet und ausführlich getestet. Zurzeit wird seine
Funktion auf den nun verfügbaren Hardware-Prototypen
evaluiert und (wenn nötig) weiterentwickelt. Abb. 7
zeigt einen Teil der optimierten Baumstruktur für eine
Simulation mit 400 Knoten mit Wurzel bei dem rot umrandeten. Die Pfeile zeigen die Master-Slave-Beziehung
und die Farben entsprechen der Koordinationszahl.
The algorithm was developed and thoroughly tested
using simulation. Currently, its function is being tested and (where required) modified for a network of
hardware node prototypes. Fig. 7 shows a section of
the final tree for a simulation for 400 nodes with the
root at the node marked with red. Arrows display the
master-slave relationships and the colors indicate
the coordination number of each node.
Abb. 7: Teil des resultierenden Baums für eine Simulation mit 400 Knoten.
Fig. 7: A section of the final tree for a simulation with 400 nodes.
Annual
Repo r t
201 0
39
A usge w ä hlte
40
P rojekte
–
S electe d
P rojects
Drahtlose Sensor- und Aktuatornetze zum
Schutz kritischer Infrastrukturen
Wireless Sensor and Actuator Networks
for the Protection of Critical
Infrastructures
Kritische Infrastrukturen wie Transport- und Energieverteilnetze sind lebensnotwendig für unsere Gesellschaft und müssen deshalb 24 Stunden 365 Tage im
Jahr zuverlässig arbeiten. Der Schutz kritischer Infrastrukturen erfordert Überwachungsmechanismen, mit
denen Ausfälle und Angriffe zuverlässig und so früh
wie möglich erkannt werden können. Ausfälle können
durch unterschiedliche Ereignisse verursacht werden,
z.B. durch schlechtes Wetter oder Naturkatastrophen,
während das Spektrum der Angriffe von Vandalismus
bis zu terroristischen Angriffen reicht. Beispiele sind
der durch starken Schneefall verursachte Zusammenbruch der Stromversorgung im Münsterland 2005 und
der Zusammenbruch der Telekommunikation in Morgan
Hill in Nord-Kalifornien im April 2009, als Vandalen die
Telekommunikationskabel zerschnitten haben. Im Juli
2010 wurde mit Stuxnet zum ersten Mal über einen
Computerwurm berichtet, der ausschließlich Automatisierungssysteme angreift, wie sie für die Überwachung
kritischer Infrastrukturen verwendet werden.
Critical Infrastructures (CI) such as transportation
and energy distribution networks are essential to
our society and for this reason they are expected to
be available 24 hours a day, 365 days a year. Critical
Infrastructure Protection (CIP) requires monitoring
mechanisms that enable reliable failure and attack
detection as early as possible. These failures may
have a number of causes, including, but not limited to
bad weather conditions or natural disasters; while attacks may range from mere vandalism to terrorist activities. Real world examples of such failures include
the failure of the energy distribution network due to
heavy snowfall in Munsterland, North-Western Germany, in 2005, and the disruption of telecommunication
services by the intentional cutting of some optical fibres in Morgan Hill, Northern California, in April 2009.
More recently a computer worm codenamed Stuxnet
against control systems was reported in July 2010. It
raised international concern, as it was a very sophisticated virus, exclusively targeting CI.
Da sich kritische Infrastrukturen in der Regel über eine
sehr große geographische Fläche erstrecken, müssen
Schutzmechanismen entsprechend skalierbar und kostengünstig sein. In diesem Zusammenhang erscheinen drahtlose Sensornetzwerke (WSN) als natürliche
Lösung. WSN können sehr leicht in großer Stückzahl
ausgebracht werden und somit eine große Fläche abdecken. Außerdem werden sie normalerweise aus kostengünstigen Geräten aufgebaut. Sie bieten also eine
kosteneffiziente Überwachungslösung, insbesondere
da sie keine zusätzliche Infrastruktur benötigen.
Since many CIs have a large geographical span, CIP
monitoring mechanisms must be scalable and costefficient. In this context, Wireless Sensor Networks
(WSNs) naturally arise as a potential solution. Specifically, WSNs can be relatively easily deployed on
a large scale to cover large geographic regions and
as they are normally built from low cost devices, they
provide a very cost-efficient monitoring solution
without requiring additional infrastructure.
Es muss jedoch berücksichtigt werden, dass der Nutzen
drahtloser Sensornetze zum Schutz kritischer Infrastrukturen primär von ihrer Zuverlässigkeit abhängt. Ein WSN,
das Fehlerzustände nicht meldet, hält den Betreiber der
Infrastruktur unter Umständen davon ab, die Mängel
rechtzeitig zu beseitigen, bevor diese sich auf die Verfügbarkeit der Infrastruktur auswirken. Drahtlose Netzwerke
It is however important to note that the usefulness
of WSNs for CIP is primarily determined by the dependability of the WSN itself. A WSN that fails to report a
faulty condition would prevent the CI operator from
carrying out the appropriate maintenance that may fix
the problem before the consequences impact the CI.
System aspects, such as redundancy, integrity, real-
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
sind deutlich unzuverlässiger als drahtgebundene. Deshalb müssen Aspekte wie Redundanz, Sicherheit, Echtzeitverhalten und Verfügbarkeit in den WSNs umgesetzt
werden.
time behaviour, as well as security and availability are
essential requirements to make the WSN, and hence
the monitoring services that it provides, dependable.
Von besonderer Bedeutung ist der Sicherheitsaspekt,
weil er sich direkt auf die anderen Maße für Zuverlässigkeit auswirkt. So kann die Kommunikation z.B.
durch „Jamming“ Angriffe, also durch konstantes Senden eines Angreifers, vollständig zum Erliegen gebracht
werden. Auch das Einschleusen falscher Daten und das
Abhören von Nachrichten sind einfacher umzusetzen,
da kein physikalischer Zugriff auf die Übertragungsleitungen erforderlich ist.
The use of WSNs has significant impact on the dependability of the CI control system and the CI itself.
In particular, it is well-known that wireless communication channels are more vulnerable to environmental noise, and hence are in general less reliable
than wired links. Moreover, wireless channels are also
vulnerable to attacks such as jamming, injection of
forged data and eavesdropping that are more difficult
to carry out in a wired environment, where access to
the communication links are physically limited.
Abb. 8: Prozess und Datenstrukturen der Anforderungsdefinition: Der Anwendungsentwickler wählt die Anforderungen in einem Formular aus.
Anschließend werden diese auf formale Parameter für die weitere Modulauswahl durch configKIT abgebildet.
Fig. 8: Process and data structures of the requirement definition: The application designer chooses basic requirements in a form.
Then, automatic mapping creates tables as input for further processing.
Annual
Repo r t
201 0
41
A usge w ä hlte
42
P rojekte
–
S electe d
P rojects
Um WSNs so zuverlässig zu machen, dass sie zum Schutz
kritischer Infrastrukturen verwendet werden können,
muss ihr gesamter Lebenszyklus von der Untersuchung
der Anforderungen, über die Auswahl der entsprechenden Softwaremodule und ihre Installation bis zum
Normalbetrieb betrachtet werden. Hieraus ergeben sich
die beiden folgenden Herausforderungen:
To ensure dependability of WSNs to a degree sufficient for their use as a means for protecting CI, their
complete lifecycle needs to be taken into account,
starting with the design phase including requirements, engineering and determination of its software
components via deployment and normal operations
phase. This leads to the following two major challenges:
• Bereitstellung geeigneter Entwicklungsmethodo logien und -werkzeuge, um hinreichend zuverlässige
WSNs herstellen zu können.
• Bereitstellung von Softwaremodulen, die Lösungen
für Sicherheit, Selbstheilung und Zuverlässigkeit
bieten und damit auch bei Naturkatastrophen, An griffen und Unfällen zumindest ein Mindestmaß an
Operationalität der WSNs garantieren.
•Provision of engineering methodologies and tools
support to design sufficiently dependable WSNs.
•Provision of software modules that provide
security, self-healing and dependability solutions
which guarantee that attacks, accidents, natural
disasters or other factors will have only minimal
impact on the WSN so that they will provide a
certain degree of service in any situation.
Während der ersten 15 Monate des Projektes hat sich
das IHP auf die Entwicklung von Designmethoden und
-werkzeugen konzentriert. So wurde ein eigenes MAC
Protokoll entwickelt, das es erlaubt, Quality of Service
Parameter wie maximale Antwortzeiten zu bestimmen
und durch Berechnung einer Sendereihenfolge auch
umzusetzen. Außerdem wurde das configKIT, dessen Entwicklung im Projekt UbiSec&Sens (Ubiquitous
Sensing and Security in the European Homeland) begonnen wurde, weiterentwickelt. Hier kann der Anwendungsentwickler Anforderungen an das WSN in einem
Formular eintragen, das anschließend ausgewertet und
auf formale Anforderungen abgebildet wird. Diese verwendet configKIT dann, um die geeigneten Softwaremodule für das Netzwerk auszuwählen. Abb. 8 zeigt
diesen Entwicklungsschritt.
During the first fifteen months of the project IHP has
focused on the development of engineering methodologies. Here two major items have been investigated. On the one hand we researched and developed
our own MAC protocol called “Distributed Low Duty
Cycle MAC” with which we can calculate the maximum
delay and implement it by defining a corresponding
sending schedule while reducing the energy consumption. The second major research item was the
extension of the configKIT developed in the project
UbiSec&Sens (Ubiquitous Sensing and Security in the
European Homeland). The idea is, that the application
developer chooses a set of application requirements,
which are defined in a GUI of configKIT. After that,
configKIT maps the chosen requirements to testable
metrics and global parameters, and finally determines
the corresponding software modules. Fig. 8 illustrates the process.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Optische Glasfaser ICs mit geringer Leistungsaufnahme
Low-Power Fiber Optic ICs
Das Ziel des Projekts ist es, eine nächste Generation von
Kurzstrecken-Glasfaser-Kommunikationsverbindungen
(bis 300 m) zu ermöglichen, welche hohe Datenraten bei
geringer Leistungsaufnahme unterstützt. Die Arbeiten
wurden im Rahmen der Projekte HiTrans (Investitionsbank Berlin) und OpticalLink (BMBF) unterstützt.
The goal is to enable next generation of short-range
fiber-optic communication links (up to 300 m) supporting high data rates at low power dissipation. This
work was supported by the projects HiTrans (Investitionsbank Berlin) and OpticalLink (BMBF).
Aktuell werden Glasfaserverbindungen sehr häufig zu
Telekommunikationszwecken und zur Datenübertragung
eingesetzt. Bestehende Datenverbindungen, die Datenraten von 10 Gbps erreichen, kommen in Datenzentren
zum Einsatz. Die nächste Generation von Glasfasern unterstützt Übertragungsraten von 26 Gbps und 40 Gbps.
Infiniband strebt Raten von 26 Gbps und FiberChannel
von 28 Gbps pro Leitung an. IEEE 802.3ba Ethernet wird
vier parallele Verbindungen mit jeweils 25 Gbps oder eine
einzelne Verbindung mit 40 Gbps unterstützen. VCSEL
Treiber-ICs und Transimpedanzverstärker (engl. TIA) sind
zwei der am häufigsten eingesetzten Schaltungskomponenten in TX- und RX-Modulen einer jeden Glasfaserverbindung.
Today, fiber optic links are extensively used in telecommunication and data communication. Current
data communication links, operating at 10 Gbps, are
used in data centers. The next generation of fiber optic links will support bit rates of 25 Gbps and 40 Gbps.
Infiniband has targeted 26 Gbps, Fiber-Channel has
targeted 28 Gbps per lane, and IEEE 802.3ba Ethernet will support 4 parallel 25 Gbps links or a single
40 Gbps link. VCSEL driver ICs and transimpedance
amplifiers (TIA) are two major electronic parts used
in the Tx and Rx module of every optical fiber link. In
this project a new set of SiGe BiCMOS electronic ICs
will be developed for the future applications.
Abb. 9: Entwickelter IC, integriert in einem Modul mit
photonischen Komponenten.
Fig. 9: Developed IC integrated in a module with photonic
components.
Annual
Repo r t
201 0
43
A usge w ä hlte
–
S electe d
P rojects
Im Rahmen dieses Projekts wird eine Reihe von neuen
elektronischen SiGe-BiCMOS-ICs für zukünftige Anwendungen entwickelt. Hierzu wurden mehrere unterschiedliche Chips für Übertragungsraten von 25 Gbps und
40 Gbps entwickelt. Die größte Herausforderung bei
dem Entwurf dieser Chips stellte die Minimierung der
Leistungsaufnahme dar. Es wurden neue Schaltungstopologien entwickelt um einen Leistungsverbrauch zu
erreichen, der geringer ist als der von hochmodernen
Schaltungsstrukturen. Abb. 10 zeigt die entwickelten
Komponenten, die für eine Glasfaserverbindung benötigt
werden.
For this purpose, the project developed a set of different chips for 25 Gbps and 40 Gbps. The main challenge in designing such chips is to minimize the power
consumption. New circuit topologies were developed
in order to reduce power consumption beyond that of
state of the art circuit structures. Fig. 10 shows the
developed components within a link.
Die entwickelten Transimpedanzverstärker weisen selbst
bei großen parasitären Kapazitäten der „multimode photo detectors“ eine hohe Bandbreite auf. Wegen der hohen Verstärkung der TIA-Schaltungen werden keine externen Begrenzungsverstärker mehr benötigt. Die VCSEL
Treiber-ICs wurden mit verschiedenen programmierbaren
Optionen ausgestattet. Des Weiteren ist der Einsatz mit
Hochgeschwindigkeits-VCSELs, welche eine hohe Impedanz und eine große Schwellenspannungen aufweisen,
möglich. Die Messergebnisse zeigen deutliche und offene Augendiagramme bis hin zu einer Datenrate von
40 Gbps. Die entwickelten ICs können den Grundstein
für die Anwendung von IHP-Technologien in GlasfaserKommunikationssystemen legen.
The developed TIA amplifiers exhibit large bandwidth
even in presence of high parasitic capacitance of the
multimode photo detectors. The large gain of the TIA
circuits eliminates the need for external limiting amplifiers. The VCSEL driver ICs are designed with various programmability options and capability to work
with high-speed VCSELs exhibiting large impedance
and/or large threshold voltages. Measurement results
show clear and open eye-diagrams up to 40 Gbps. The
developed ICs can pave the way for deploying IHP
technology in fiber optic communication systems and
help IHP on the road towards a photonics foundry.
Abb. 10: ICs in einer vollständigen optischen Verbindung.
Fig. 10: ICs in a complete optical link.
44
P rojekte
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Beamforming für 60 GHz Schaltkreise
60 GHz Beamforming Chips
Ziel des Projektes ist es, strahlformende 60 GHz Transceiver für Sender und Empfänger zu entwickeln. Damit
kann für die derzeit vorhandenen 60 GHz Schaltkreise
sowohl die Datenrate als auch die Reichweite der Übertragung vergrößert werden. Ein weiteres wichtiges Ziel
ist es, Erfahrungen beim Design von phasengesteuerten
Hochleistungs-Schaltkreisen in SiGe BiCMOS-Technologien zu erarbeiten.
The goal is to develop 60 GHz beamforming frontends for both transmitter and receiver. This will enhance both the data rate and distance of transmission
of the current version of the 60 GHz chipset. A further
important goal is to acquire the knowledge of designing high performance phased array circuits in SiGe
BiCMOS technologies.
Aktuelle Silizium-Technologien haben im Vergleich zu
III-V-Technologien im Millimeterwellenbereich ein höheres Rauschen und eine geringere Ausgangsleistung.
Dadurch ist das Link-Budget bei Datenübertragung
im Gbps-Bereich wesentlich geringer. Wenn man Datenmengen im Bereich von Multi-Gbps für WPAN- und
WLAN-Anwendungen übertragen will, müssen phasengesteuerte Sender und Empfänger für den Millimeterwellen-Bereich entwickelt werden, mit denen ein hoher
Link Gain ohne eine Verringerung des Erfassungswinkels erzielt werden kann. Der Hauptnutzen der Phasensteuerung besteht darin, dass die Formung und die
Steuerung des Strahles elektronisch realisiert werden
können. Beamformer werden in Sendern genutzt, um
die mittlere äquivalente isotrope Strahlungsleistung
The current silicon technologies suffer from high
noise and lower output power at millimeter-wave
(mm-wave) frequencies compared to III-V counterparts. This seriously limits the link budget of Gbps
transmission. In order to achieve multi-Gbps transmission for WPAN and WLAN applications, phasedarray transmitters and receivers working at mmwave frequencies must be developed to provide high
link gain without sacrificing angular coverage. The
main advantage of the phased-arrays is that electronic beam forming and steering can be achieved. In
transmitters phased-arrays are used to increase the
Effective Isotropic Radiated Power (EIRP), while in
receivers, they are used to increase the Signal to Interference-plus-Noise Ratio (SINR). Higher EIRP and
Abb. 11: Die Systemarchitektur des strahlformenden Empfänger- und Sender-Schaltkreises.
Fig. 11: The system architecture of the TX and RX beamforming chip.
Annual
Repo r t
201 0
45
A usge w ä hlte
P rojekte
(EIRP) zu erhöhen, während sie in Empfängern eingesetzt werden, um das Verhältnis der Signalleistung zur
Störleistung (SINR) zu verbessern. Größere Werte für
EIRP und SINR ermöglichen höhere Übertragungsraten
und größere Entfernungen für die Übertragung.
Daher wurden strahlformende 60 GHz Sender und Empfänger entwickelt, mit denen die Tragfähigkeit des
Konzeptes eines hochintegrierten 60 GHz Beamformers
nachgewiesen werden kann. Abb. 11 zeigt das Blockdiagramm für Sender und Empfänger.
Die strahlformenden Schaltkreise basieren auf einer
HF Phasenschieber-Architektur. Dabei sind die Phasenschieber auf der Empfängerseite nach dem rauscharmen Verstärker (LNA) und auf der Senderseite vor
dem Leistungsverstärker (PA) angeordnet. Im Senderschaltkreis sind einfache digitale 2-Bit Phasenschieber implementiert, im Empfängerschaltkreis hingegen
sehr genaue Vektor-Modulatoren. Der Vektor-Modulator
verfügt sowohl über eine Amplituden- als auch eine
Phasensteuerung über einen Bereich von 25 dB bzw.
360°. Der entwickelte Sender besteht aus vier Kanälen. Jeder Kanal enthält digitale 2-Bit Phasenschieber und Hochleistungsverstärker. Außerdem enthält
er ein vollständiges Netzwerk zur Signalverteilung mit
Leistungsteilern im Millimeterwellen-Bereich, einen
Aufwärtsmischer sowie einen vollständig integrierten
48 GHz Frequenzsynthesizer.
Zusätzlich ist ein hochintegriertes MillimeterwellenNetzwerk zur Kombination von Leistung enthalten.
Dieses Netzwerk enthält sowohl aktive als auch passive
Bausteine zur Leistungskombination. Abb. 12 zeigt
das Foto eines Empfänger-Schaltkreises. Zum Test der
Performance der Zwischenfrequenz sind der Abwärtsmischer und der vollständig integrierte 48 GHz Synthesizer in der Schaltung enthalten.
–
S electe d
P rojects
SINR values translate into higher bit rates and longer
distances.
For this purpose 60 GHz beamforming transmitters
and receivers have been developed, to prove the concept of the highly integrated 60 GHz phased-array
system. Fig. 11 illustrates the block diagram of both
TX and RX.
The beamforming chips are based on RF phase shifting
architecture. Here, the phase shifters are arranged after the LNA on the receiver side and before the PA on
the transmitter side. On the transmitter chip simple
2-bit digital-controlled phase shifters are implemented, on the receiver chip very accurate vector-modulators are used. The vector-modulator exhibits both
amplitude and phase control over 25 dB and 360°,
respectively. The developed transmitter consists of
four channels; each channel contains 2-bit digitallycontrolled phase shifters and high power amplifiers.
It also contains a complete millimeter-wave power
division network, up-conversion mixer and fully integrated 48 GHz frequency synthesizer.
It also includes a highly integrated millimeter-wave
power combining network. The power combining network contains both active and passive power combiners. Fig. 12 shows a photo of the receiver chip. For
testing IF performance, the down-conversion mixer
and the fully integrated 48 GHz frequency synthesizer are integrated.
Abb. 12:Foto des strahlformenden 60 GHz Schaltkreises.
Fig. 12: The beamforming 60 GHz RX Chip photo.
46
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
DistCom - Impulse Radio UWB Chipsatz
DistCom - UWB Impulse Radio Chipset
Ziel des vom BMWi geförderten Projektes ist es, die Impuls-Funktechnik neben der Übertragung von Daten
gleichzeitig auch für die hochgenaue funkbasierte Lokalisierung in Gebäuden nutzbar zu machen. Dazu wurde ein Transceiver (Sende- und Empfangsschaltung)
entwickelt und optimiert, der auf früheren Ergebnissen
des Projektes PULSERS II basiert. Der nun verfügbare
Chipsatz ist die Basis für UWB Funkmodule, mit denen
eine präzise Lokalisierung im Dezimeterbereich realisiert werden kann.
The goal of this project funded by BMWi is to utilize
the impulse radio technique for data transmission as
well as for high-precision indoor localization at the
same time. For this purpose, a transceiver has been
developed and optimized, based on earlier results
from the project PULSERS II. The chipset is now the
basis of UWB radio modules, which allow precise indoor localization in the decimeter range.
Die Basis der Funkübertragung sind extrem kurze Impulse mit einer Dauer von etwa einer Nanosekunde,
deren Frequenzspektrum im Bereich zwischen 6,0 und
8,5 GHz (entsprechend der ECC-Frequenzmaske) liegt.
Diese Impulsübertragung erlaubt mittels geeigneter
Modulation (Impulse Position Modulation und BPSK)
nicht nur sehr hohe Datenraten bis zu 1 Gbps, sondern
auch die Messung der Ausbreitungszeit von Funksignalen zwischen Sender und Empfänger. Damit kann
dann der Abstand zwischen zwei Funkknoten bestimmt
werden, der dann wiederum der präzisen Positionsbestimmung dient.
The basis of this kind of radio transmission consists
of extremely short impulses with a duration of about
1 nanosecond, the frequency spectrum of which lies
in the range of 6.0 to 8.5 GHz. This impulse transmission allows not only huge data rates up to 1 Gbps by
employing proper impulse position modulation and
BPSK, but also the measurement of propagation delays of radio signals between the transmitter and the
receiver. Taking this, one can calculate the distance
between two radio nodes, which in return serves for
precise position determination.
a)
b)
Abb. 13: Fotos des UWB Transceiver Chipsatzes; a) Sender Tx249, b) Empfänger Rx249.
Fig. 13: Photographs of the UWB Transceiver chipset; a) transmitter Tx249, b) receiver Rx249.
Annual
Repo r t
201 0
47
A usge w ä hlte
48
P rojekte
–
S electe d
P rojects
Mit der Vorgängergeneration dieses Chipsatzes konnten bereits hervorragende Ergebnisse zur Bestimmung
des Abstandes zweier Funkknoten erzielt und publiziert werden. Unter günstigen Funkausbreitungsbedingungen wurde eine Genauigkeit von ca. 4 cm erreicht,
wobei eine Update-Rate von 1000 Hz möglich war. Solche Ergebnisse sind international bisher von anderer
Seite unerreicht. Allerdings mussten noch Schwächen
der Prototypen bezüglich der Zuverlässigkeit, Funkreichweite und Temperaturstabilität behoben werden, die im
Rahmen des DistCom Projektes adressiert wurden. Dies
ist gelungen und der neue UWB Chipsatz (bestehend
aus dem Receiver Rx249 und dem Transmitter Tx249)
wird derzeit bei unserem Projektpartner IMST in neu
entwickelte Funkmodule integriert. Die Abb. 13 zeigt
Fotos der beiden Chips, gebondet in ein HF-Gehäuse.
With the previous generation of this chipset we have
been able to achieve excellent results in distance
determination between two radio nodes, which have
already been published. Under favorable radio propagation conditions an accuracy of 4 cm has been reached with an update rate of 1000 Hz. These results
are unique in this field. However, weaknesses of the
prototypes regarding reliability, radio transmission
range and temperature stability needed to be fixed
and were addressed within the DistCom project. This
has been successfully accomplished and the new
chipset (consisting of the receiver Rx249 and transmitter Tx249) is currently being integrated into a
newly developed radio module by our project partner
IMST. Fig. 13 shows photographs of the chips bonded
into RF packages.
Der Transmitter-Chip erzeugt HF-Impulse mit einer
mittleren Wiederholrate von 56,64 MHz, wobei der tatsächliche Abstand zwischen den Impulsen stark variiert
in Abhängigkeit von Impulse Position Modulation. Die
Abb. 14 zeigt eine solche Impulsfolge im Zeitbereich
und das dazugehörige Frequenzspektrum des Sendesignals. Die Form und die Mittenfrequenz der gesendeten
Impulse wurden so gewählt, dass die ECC-Frequenzmaske möglichst optimal ausgenutzt und trotzdem
nicht verletzt wird.
The transmitter chip generates RF impulses with an
average repetition rate of 56.64 MHz, whereas the
actual distance between two impulses varies heavily
in accordance with the impulse position modulation.
Fig. 14 shows such an impulse train in time domain
and the corresponding frequency spectrum of the
transmitted signal. The shape and the center frequency of the RF impulses have been chosen in such
a way that the ECC frequency mask is optimally used
but not violated.
Der Receiver empfängt die gesendeten Impulse und
gibt die Einhüllende (Pulse Envelope) am analogen
Ausgang zur weiteren Verarbeitung mittels ADC aus.
Gegenüber der Vorgängerversion konnten die Sensitivität auf -70 dBm und die Linearität erheblich verbessert
werden. Die maximal mögliche Verstärkung wurde auf
knapp 80 dB erhöht, wobei sie in 16 Schritten zu je 3 dB
reduziert werden kann, um eine Adaption an die konkreten Funkkanalbedingungen zu ermöglichen.
The receiver acquires the transmitted impulses and
provides the impulse envelope at the analogue output for further processing using an ADC. Compared to
the previous version, the sensitivity was improved to
-70 dBm and the linearity was also improved considerably. The maximum achievable gain was increased
up to almost 80 dB, whereas it can be reduced in 16
steps of 3 dB each in order to allow an adaptation to
the actual given radio channel conditions.
Eine wichtige Besonderheit dieses Chipsatzes ist die
implementierte Einheit für Time-of-Flight Messungen
für die Lokalisierung. Sie ermöglicht die Erfassung
eines „Zeitstempels“ im Moment des ersten Eintreffens
eines Impulses. Das Inkrement dieser Zeitmesseinheit
ist etwa 275 ps. Durch eine geeignete bidirektionale
Übertragung eines Datenpaketes zur Lokalisierung
(Two-Way-Ranging) kann damit der Abstand zwischen
An important feature of the chipset is the implemented unit for time-of-flight measurements intended
for localization. It allows the acquisition of a “time
stamp” at the moment of the first appearance of an
impulse. The minimum step size of the time measurement is about 275 ps. By bidirectional transmission
of a suitable data packet for localization (two-way
ranging) the distance of two radio nodes can be de-
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
zwei UWB Funkknoten auf wenige Zentimeter genau
bestimmt werden. Wird diese Abstandsbestimmung
zu verschiedenen Punkten an bekannten Positionen
durchgeführt, kann anschließend die genaue Position
eines mobilen Funkknotens berechnet werden. Dies soll
in Zusammenarbeit mit unserem Projektpartner in naher Zukunft demonstriert werden.
–
S electe d
P rojects
termined with an accuracy of only few centimeters.
When carrying out distance measurements to different points from known positions, the precise position of a mobile node can then be calculated. This shall
be demonstrated in the near future in collaboration
with our project partner.
Abb. 14: Beispiel Sequenz von
Impulsen;
a) Positionsmoduliert,
Schnappschuss vom
Oszilloskop, b) Fester Pulsabstand,
Frequenzspektrum
Fig. 14: Example sequence of
impulses;
a) impulse position
modulated, snapshot
from oscilloscope, b) regular pulse distance,
frequency spectrum
Annual
Repo r t
201 0
49
A usge w ä hlte
50
P rojekte
–
S electe d
P rojects
DOTFIVE
DOTFIVE
Das im Februar 2008 gestartete EU-Projekt DOTFIVE
verfolgt das Ziel, die maximale Schwingfrequenz fmax
von Silizium-Germanium Hetero-Bipolartransistoren
(SiGe-HBT) auf 0,5 Terahertz (500 Gigahertz) zu steigern. Dieser Frequenzwert bedeutet gegenüber dem zu
Projektbeginn bekannten Stand der Technik eine Verbesserung etwa um den Faktor 2. Gemeinsam mit 15
europäischen Partnern aus Industrie und Forschung
arbeitete das IHP in diesem Projekt sowohl an der Vervollkommnung bestehender Bauelementekonzepte als
auch an der Entwicklung und Testung neuer Transistorkonstruktionen. Das Projekt endet im Sommer 2011.
The goal defined at the start of the European project
DOTFIVE in February 2008 has been the development of
a Silicon-Germanium Hetero-Bipolar-Transistor (SiGe
HBT) achieving a maximum oscillation frequency of
about 0.5 terahertz (500 gigahertz). This exhibits a
value exceeding the state-of-the-art performance at
that time by about a factor of two. Within DOTFIVE,
IHP has been part of a powerful consortium consisting of 15 European industry and research partners
working on the optimization of existing as well as on
the exploration of novel transistor constructions. The
project will terminate in summer 2011.
Das IHP konnte, wie in der Projektplanung vorgesehen,
im Oktober 2010 die erfolgreiche Erfüllung der vereinbarten Ziele abrechnen. Darin eingeschlossen ist die
Präsentation neuer Rekordmarken für SiGe HBTs mit
500 GHz fmax sowie einer Gatterverzögerungszeit für
Ringoszillatoren von 2 ps. Die im Verlaufe des Projektes
angestrebte deutliche Steigerung der Leistungsfähigkeit von SiGe-HBTs im Hochfrequenzbereich konnte
nicht nur auf Transistorebene nachgewiesen werden. In
drei aufeinanderfolgenden Iterationen wurde praktisch
ohne Verzögerung die jeweils neueste Transistorgeneration in Schaltkreisen getestet. Die von Projektpartnern
auf Basis dieser Transistoren entwickelten Schaltungen
mit Arbeitsfrequenzen, die im Bereich von 160 GHz bis
sogar 825 GHz liegen, zeugen auch hier von einer wesentlich gesteigerten Qualität.
The contribution of IHP within DOTFIVE was successfully fulfilled in October 2010, as planned at the
project start. By then, IHP was able to mark new records for SiGe HBTs, firstly with a maximum oscillation frequency fmax of 500 GHz and secondly with a
value of 2 ps (picoseconds) for the gate-delay time
of ring-oscillators. It has not only been possible to
demonstrate the performance enhancement that has
been targeted and achieved in the course of the project merely on the transistor level. Moreover, within
3 subsequent iterations the respective latest transistor generation has been tested practically without
any delay in complete circuits developed by DOTFIVE
project partners. The results achieved with circuits
operating at frequencies of 160 GHz and even up to
a value of 825 GHz document once more an outstanding improvement of quality.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Eine deutliche Steigerung der Arbeitsfrequenz in einem
mit der etablierten Silizium-Technologie kompatiblen
Materialsystem ermöglicht neuartige und komplexe
Systemlösungen, die zudem tauglich für die Massenproduktion sind. Die breite Palette möglicher Anwendungsgebiete, die sich mit dem Vordringen in den unteren
Terahertzbereich (0,3 bis 1 THz) eröffnet, reicht von
schneller Datenübertragung über Radaranwendungen
bis hin zu neuartigen bildgebenden Verfahren in Medizin und Technik.
The aspired enhancement of the operating frequency,
within a technology based on a material-system that
is compatible to the well-established silicon-technology, paves the way for new and complex system solutions, which are moreover suited for mass-production. A broad range of possible system-applications
that becomes feasible when entering the low terahertz frequency-regime (0.3 to 1 THz), covers highspeed communication, radar application, as well as
novel applications of mmWave imaging and sensing
for technical and medical applications.
Nachdem im Jahre 2008 vom IHP eine Doppel-Polysilizium Technologie mit selektiver Basisepitaxie und
speziell ausgeführtem Basisanschluss im Rahmen von
DOTFIVE entwickelt und vorgestellt wurde, konnte das
finale Projektziel für fmax mit 500 GHz auf Basis eines
HBT-Moduls mit nicht-selektiver Basisepitaxie erreicht
werden. Die Leistungssteigerung dieser bereits in der
0,13 µm BiCMOS-Technologie des IHP eingesetzten HBT
Konstruktion ist durch eine Verkleinerung von Bauelementeabmessungen sowie durch Modifikationen des
Basisprofiles, der thermischen Ausheilung, des Silizidwiderstandes, der Emitterabscheidung und des selektiv
implantierten Kollektors erreicht worden.
In 2008, significant progress for a novel double-polysilicon technology with selective epitaxial base deposition and an unconventional base-link region has
been developed and published within DOTFIVE. The
project target of 500 GHz for fmax has finally been met
in 2010 based on an HBT module with non-selective
base epitaxy. The underlying device construction is
derived from IHP’s 0.13 µm BiCMOS technology. The
progress in device performance has been achieved
by device scaling and by a number of process modifications, including the base profile, the annealing
regime, the salicide sheet resistance, the emitter deposition and the selectively implanted collector.
Abb. 15 zeigt Querschnitte der ersten und dritten HBT
Generation, hier bezeichnet mit „D51“ und „D53“.
Fig. 15 shows cross section pictures of the first and
the final third device generations of this architecture
labeled “D51” and “D53”, respectively.
Abb. 15: TEM Querschnittsabbildungen der ersten (D51) und dritten (D53) Generation von HBTs mit differentieller Basisepitaxie,
die im Verlauf des DOTFIVE Projektes entwickelt wurden.
Fig. 15: TEM cross sections of the first (D51) and the final third (D53) generation of HBTs with differential base epitaxy developed in the course of the DOTFIVE project.
Annual
Repo r t
201 0
51
A usge w ä hlte
P rojekte
Statische und Kleinsignal-Messwerte sind für HBTs beider Generationen in Abb. 16 gezeigt. So konnte die
maximale Transitfrequenz fT von 235 auf 300 GHz und
die maximale Schwingfrequenz fmax von 300 auf 500
GHz gesteigert werden. Die Ergebnisse wurden auf der
IEDM-Konferenz im Dezember 2010 in San Francisco
der Fachwelt vorgestellt.
–
S electe d
P rojects
Static and small-signal transistor characteristics are
shown in Fig. 16. These means lead to an increase of
the transit frequency fT from 235 to 300 GHz and of
the maximum oscillation frequency fmax from 300 to
500 GHz. These results were presented at the IEDM
conference in December 2010 in San Francisco.
Abb. 16: Statische und Kleinsignal-Kennlinien der ersten (D51) und der dritten (D53) HBT Generation. Gezeigt sind Gummel-Kennlinien
und Ergebnisse von fT / fmax Messungen. Die Messungen wurden an Bauelementen mit Emitterflächen von 8x(0.18x0.92)µm2
(D51) und 8x(0.12x0.96)µm2 (D53) durchgeführt. Messbedingungen Gummelkennlinien: T = 300 K, VCB = 0 V. Messbedingungen
HF-Messungen: T = 300 K, VCE= 1,5 V , Extrapolation der Stromverstärkung h21 und der unilateralen Leistungsverstärkung U mit
-20 dB/Dekade bei einer Frequenz von 40 GHz nach Deembedding.
Fig. 16: Static and small-signal device characteristics of the first (D51) and the final (D53) HBT generation, showing the gummel-plot
(a) and the fT / fmax measurements. Measurements were carried out on devices with an effective emitter area of 8x(0.18x0.92)µm2 (D51) and 8x(0.12x0.96)µm2 (D53), respectively. Gummel plots were measured at T = 300 K with VCB = 0 V. RF measurements were performed at VCE= 1.5 V. Deembedded small-signal current gain h21 and unilateral gain U vs. frequency were used for extrapolation of fT and fmax at 40 GHz with -20 dB per frequency decade.
52
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
RF-MEMS Integration
RF-MEMS Integration
Das Hauptziel dieses Projektes ist die Integration von
RF-MEMS in einen bestehenden SiGe-BiCMOS-Prozess.
Dies bietet die Möglichkeit, RF-MEMS Schalter in einer hochmodernen Prozesslinie herzustellen und in
BiCMOS-RFICs zu nutzen.
A major objective of this research is to demonstrate
the integration of RF-MEMS into an existing SiGe BiCMOS process. This opens the way to the fabrication of
RF-MEMS within a state of the art processing line and
the use of RF-MEMS switches in BiCMOS RFICs.
Im Bereich RF-MEMS wurde in den letzten Jahren ein erheblicher Aufwand betrieben, aber die industrielle Umsetzung ging trotz der gezeigten Vorteile wie geringe
Verluste und hohe Linearität nur langsam voran. Ein
wesentlicher Grund dafür ist, dass bei der Herstellung
von RF-MEMS meist spezielle Technologien nötig waren
und dabei z.B. Schwierigkeiten wie Sticking und Integrationsprobleme mit anderen Teilsystemen auftraten.
RF-MEMS have seen considerable research efforts globally over the last years, but their industrial uptake
has been very slow, despite their demonstrated advantages such as low loss and especially high linearity. A significant part of this dilemma is that RF-MEMS
have mostly been fabricated in dedicated technologies, with inherent technology related difficulties
such as sticking and integration problems with the
remainder of the system.
RF-MEMS Schalter gelten als Schlüsselkomponente bei
der Strahllenkung und den Anforderungen an phasengesteuerte Antennen für Millimeter-Wellen-Anwendungen zum Beispiel bei bildgebenden Systemen für
das 122 GHz ISM Band. Für diese hohen Frequenzen
ist es von Vorteil, den Schalter in einen CMOS- oder
BiCMOS-Prozess monolithisch zu integrieren, da im Gegensatz zur heterogenen Integration kürzeste Verbindungen zwischen Schalter und Schaltkreis möglich sind
und damit parasitäre Effekte minimiert werden [1,2].
Dieser technologische Fortschritt ermöglicht damit ein
höheres Level an Komplexität in multifunktionellen
Mikrosystemen. Der IC-Entwurf wird zeigen, wie integrierte RF-MEMS Schalter in konfigurierbaren ICs für
verschiedenste Anwendungen für mm-Wellenlängen
genutzt werden können. Das Ziel ist es, standardisierte
Bausätze rentabel zu nutzen, welche in großer Stückzahl und programmierbar für spezifische Anwendungen
hergestellt werden können. Dies verhindert in vielen
Fällen die Notwendigkeit für den anwendungsspezifischen IC-Entwurf, verringert die Kosten durch das
hohe Marktvolumen und die kürzeren Entwicklungszeiten und beseitigt Hindernisse, welche zurzeit die
industrielle Umsetzung begrenzen.
RF-MEMS switches are considered to be the key components to fulfill the beam steering and phase array
antenna requirements of mm-wave applications, such
as imaging systems working in the 122 GHz ISM band.
For such high frequency applications, a monolithic,
embedded integration of the switch with a high-performance CMOS or BiCMOS platform would be advantageous over any heterogeneous integration with the
basic IC process, because it provides shortest connection paths between switch and circuitry resulting in
lowest parasitic effects [1, 2]. The technological advance will allow a higher level of complexity in multifunctional microsystems. The IC design approach
will investigate how the fully integrated RF-MEMS
switches can be applied in reconfigurable “core ICs”,
which can be used over a wide range of the millimetre-wave spectrum for a variety of applications. The
goal is to show a viable way to “off-the-shelf” building blocks, which can be fabricated in large quantity
and programmed for a specific application. This eliminates the need for application-specific IC design
in many cases, lowers cost through higher market volume, reduces design cycle time and hence removes
significant obstacles which currently limit industrial
take-up.
Annual
Repo r t
201 0
53
A usge w ä hlte
P rojekte
Auf der IEDM 2010 hat das IHP einen neuartigen Prozess zur Integration des RF-MEMS Schalters von der Wafer-Rückseite vorgestellt [3]. In Abb. 17 ist die neue
Integration des Schalters in den 0,25 µm SiGe:C BiCMOS SG25H1 Prozess dargestellt. Der kapazitive Schalter wird gebildet durch die Schichten Metal3(gelb) und
Metal4(blau). In Metal5 befinden sich die Elektroden
während der Metal4 / Metal5-Stapel als Signalleitung
dient. Die bewegliche Membran besteht aus dem stresskompensierten Ti / TiN / AlCu / Ti / TiN Metal3 Stapel.
Der RF-MEMS Schalter wurde auf der Rückseite durch
das Kleben eines Glaswafers mittels Polyimid und somit
auf Wafer-Ebene gepackaged (Abb. 18).
–
S electe d
P rojects
At IEDM 2010, IHP’s Technology group presented a
novel back-side processed RF-MEMS Switch process
[3]. Fig. 17 illustrates the novel RF-MEMS switch
integration in IHP’s 0.25 µm SiGe:C BiCMOS process
SG25H1. The capacitive switch is built between the
Metal3 (yellow) and Metal4 (blue) layers. The highvoltage electrodes are formed using Metal5 (red)
while the Metal4 / Metal5 stacked layer is used as RF
signal line. The membrane is realized using a stresscompensated Ti / TiN / AlCu / Ti / TiN Metal3 stack. The
released RF-MEMS switch structures were packaged at
wafer level by bonding glass wafers onto the backside
of the BiCMOS+MEMS wafers using polyimide as adhesive material (Fig. 18).
Abb. 17: Querschnitt des von der Rückseite integrierten
RF-MEMS Schalters.
Fig. 17: Cross section of back-side processed RF-MEMS
switch integration.
Abb. 18: Querschnitt mit Rückseiten-Packaging des 8” Wafers.
Fig. 18: Cross section of wafer level packaged 8”
BiCMOS+MEMS wafer.
54
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
In Abb. 19 ist ein mittels FIB hergestellter Querschnitt
des Schalters dargestellt, wobei der Abstand zwischen
M3 und M4 ca. 600 nm beträgt.
A Focus Ion Beam (FIB) cross section of the switch
is shown in Fig. 19. The gap between M3 and M4 was
measured as 600 nm (H1 in Fig. 19).
Die neuartige Technologie der Integration mittels Rückseitenbearbeitung benötigt nur einen zusätzlichen
Maskierungsschritt und ist damit unkompliziert und
bietet weiterhin die Möglichkeit eines kostengünstigen
Packagings auf Wafer-Ebene. Der Schalter zeigt sehr
gute elektrische Eigenschaften. Die Einfügedämpfung
ist bis zu 140 GHz kleiner als 0,5 dB. Das Kapazitätsverhältnis Coff / Con liegt bei 1:10 und bietet damit eine
ausgezeichnete Isolation von mindestens 15 dB im
Frequenzbereich von 90-140 GHz (Abb. 20). Die hohe
Zuverlässigkeit des Schalters konnte durch 5 Milliarden
Schaltzyklen ohne Performance-Verluste gezeigt werden.
The novel integration technique using back-side
process is very simple, adding only one mask step to
the underlying high-performance BiCMOS process.
Moreover, it offers low cost, wafer level packaging.
The switch shows excellent electrical parameters after the wafer level packaging process. The insertion
loss of the switch is less than 0.5 dB up to 140 GHz.
The “off” to “on” capacitance ratio (Coff / Con) is 1:10
providing excellent isolation of more than 15 dB in
the frequency range of 90 to 140 GHz (Fig. 20). No
performance degradation was observed after 5 billion
cold switching cycles demonstrating the high reliability of the switch.
Abb. 19: FIB Querschnitt des RF-MEMS Schalters (Die Gesamtdicke
der Signalleitung bestehend aus M4 und M5 beträgt 5 µm).
Fig. 19: FIB cross section of the RF-MEMS switch after milling
from front-side. Total thickness of the RF-line (M4+M5)
is 5 µm.
Abb. 20: S-Parameter des RF-MEMS Schalters.
Fig. 20: Switch S-parameters vs. frequency.
Annual
Repo r t
201 0
55
A usge w ä hlte
P rojekte
Zwei Projekte bilden die Grundlage für die Entwicklung
der RF-MEMS Technologie am IHP. Ziel des von der EU
geförderten FLEXWIN Projektes (www.flexwin.eu) ist
es, ein intelligentes Antennen-Array unter Nutzung
des eingebetteten RF-MEMS BiCMOS-Prozesses zu entwickeln. Ein weiteres vom BMBF gefördertes Verbundforschungsprojekt ist nanett (Kompetenznetzwerk für
Nanosystemintegration). Das Ziel dieses Projektes ist
die Entwicklung einer Plattform zur Realisierung von
intelligenten und energieeffizienten Systemen, welche
MEMS Komponenten integriert in einen BiCMOS-Prozess
benötigen. In beiden Projekten ist das IHP für die Entwicklung des integrierten RF-MEMS Prozesses verantwortlich.
[1]
[2]
[3]
56
M. Kaynak, et al., IEDM, Technical Digest, pp. 797-800, 2009.
M. Kaynak, et., al., SiRF, Technical Digest, pp. 144-147, 2009.
M. Kaynak, et al., IEDM, Technical Digest, pp. 832-835, 2010.
An n ual
R e p or t
2 01 0
–
S electe d
P rojects
Two important projects form a basis to develop the
RF-MEMS technology in IHP. The FLEXWIN project,
supported by EU 7th Framework Programme (www.
flexwin.eu), aims to develop an intelligent antenna
array system using RF-MEMS switch embedded BiCMOS process. Another collaborative project, which is
supported by BMBF, is nanett (network for nanosystem integration). nanett project’s goal is to develop a
heterogeneous integration platform to realize smart
and power efficient systems. Such systems need
MEMS components, integrated into a BiCMOS process.
In both projects, IHP is responsible for developing
the RF-MEMS integrated BiCMOS process.
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Siliziumphotonik
Silicon Photonics
Photonik wird immer mehr zu einer Schlüsseltechnologie, die in allen Lebensbereichen zum Einsatz kommt.
Dabei spielen nicht mehr allein die Anwendungen in
direktem Zusammenhang mit der Kommunikation eine
Rolle. Optische Funktionalität ist mittlerweile eine
Voraussetzung für die Wertschöpfung in zahlreichen
Anwendungsgebieten, wodurch die Photonik den Charakter einer Basistechnologie bekommt. In diesem Zusammenhang sind die intensiven Anstrengungen zur
Entwicklung integrierter photonischer Technologien,
insbesondere die Zusammenführung von Silizium-ICTechnologie und integrierter Optik (Siliziumphotonik
bzw. Silicon Photonics) zu sehen.
Photonics is becoming a key technology in everyday
life. Furthermore, the application of photonic technologies is no longer limited to optical communications. Optical functionality is now leveraging the
commercialization of a vast range of products. Photonics is therefore considered a key-enabling technology underlying a wide spectrum of applications. Parts
of these developments focus on integrated photonics
technologies, in particular on the convergence of
silicon IC technology and integrated optics (silicon
photonics).
Am IHP konzentriert sich die Forschung in der Siliziumphotonik auf zwei Kerngebiete: Optische Boards und
Photonische BiCMOS. Dabei sind die Arbeiten eng mit
der internationalen Spitzenforschung verknüpft, was
sich unter anderem in der hohen Anzahl von EU-Projekten mit photonischem Schwerpunkt widerspiegelt.
Zu den bereits laufenden Projekten BOOM (STREP) und
HELIOS (IP) ist im Jahr 2010 das Projekt GALACTICO
(STREP) hinzugekommen, in welchem das IHP der Koordinator ist. Daneben gibt es diverse nationale Projekte
und regionale Projekte im Raum Berlin-Brandenburg.
Das IHP arbeitet mit verschiedenen Industriepartnern
zusammen wie z.B. U2T-D, SECOPTA GmbH, NSN-PT,
Telecom-Italia und Constelex in Griechenland. Weitere
wichtige Kooperationspartner sind IMEC, CEA-LETI, FhG
HHI, FhG IZM, UP Valencia, TU Athen und TU Wien.
At IHP, silicon photonics research is conducted in two
directions: Silicon motherboard technology and photonic BiCMOS technology. Our work is closely linked
to international top-level research in this area, which
reflects in the considerable number of EU-funded
projects with focus on photonics. In addition to ongoing EU-projects (BOOM (STREP) and HELIOS (IP))
we acquired the project GALACTICO in 2010, where
IHP acts as the coordinator. In addition to European
activities there are a number of national and regional
(Berlin-Brandenburg) projects. IHP closely cooperates with industrial partners such as U2T (Germany &
UK), SECOPTA GmbH, NSN-PT, Telecom-Italia and Constelex in Greece. Similarly, we cooperate with IMEC,
CEA-LETI, FhG HHI, FhG IZM, UP Valencia, TU Athen
and TU Wien.
Annual
Repo r t
201 0
57
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Um eine photonische Technologie basierend auf den am
IHP zur Verfügung stehenden BiCMOS-Technologiemöglichkeiten zu entwickeln, wird in enger Kooperation mit
der Technischen Universität Berlin (TU Berlin, FG Hochfrequenztechnik / Photonik) gearbeitet. Dabei nutzt
das IHP das an der TU Berlin vorhandene PhotonikKnow-how. Die TU Berlin erhält im Gegenzug Zugang
zur IHP-Technologie für photonische Anwendungen.
Den Rahmen für die Zusammenarbeit bildet das Joint
Lab Silicon Photonics. Am 18. Juni 2010 fand an der
TU Berlin die offizielle Einweihungsfeier des Joint Labs
mit Vertretern der Leibniz-Gemeinschaft, des IHP und
dem Präsidenten der TU Berlin statt.
In order to set up a photonics technology based
on IHP’s BiCMOS toolset, a close collaboration with
Technische Universität Berlin (FG Hochfrequenztechnik / Photonik) has been established. IHP profits from
the photonics know-how available in Berlin, while
Berlin gains access to the technology of IHP for photonic research purposes. The frame for this collaboration is the Joint Lab Silicon Photonics. On June 18,
the official opening ceremony of the Joint Lab Silicon
Photonics was held at TU Berlin.
Im Jahr 2010 wurde die IHP-Nanowellenleitertechnologie weiter optimiert. 1D-Gitterkoppler haben Koppeleffizienzen um 3-4 dB, wie aus der Koppelcharakteristik
in Abb. 21 ersichtlich. Unsere Nanowellenleiterverluste
bewegen sich im Bereich 2-3 dB / cm. Dies entspricht
dem State-of-the-Art für diese Art Wellenleiter. Dies
wird auch von den Ergebnissen an Ringresonatoren
bestätigt, die Q-Werte im Bereich 10.000 zeigen. Ein
Ringresonator sowie eine zugehörige Filterkurve werden in Abb. 22 (a) und (b) gezeigt.
IHP’s nano-waveguide technology was further optimized in 2010. 1D grating couplers now reach
efficiencies between 3-4 dB, as shown in Fig. 21.
Waveguide losses are in the range 2-3 dB / cm, which
corresponds to the state-of-the-art for these waveguides. The quality of the waveguide process is also
confirmed by the measured characteristics of ring
resonators that exhibit Q-values of about 10.000. A
ring resonator and the corresponding filter curve are
shown in Fig. 22.
Abb. 21 (a): REM-Bild eines 1D-Gitterkopplers. Abb. 21 (b): Gemessene Koppelcharakteristik eines Kopplers.
Fig. 21 (a): SEM image of a 1D grating coupler. Fig. 21 (b): Measured coupler characteristics as a function of
wave length.
58
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
Neben der passiven Wellenleitertechnologie wird am
IHP auch an der Entwicklung aktiver Bauelemente
gearbeitet. Eine hierfür wesentliche Komponente ist
der Modulator, um elektrische in optische Signale zu
transformieren, wobei Geschwindigkeiten > 10 Gbps angestrebt werden. Innerhalb des Projekts HELIOS wurde
ein erster Modulator-Prototyp entwickelt, der für Modulationsfrequenzen bis ca. 10 GHz ausgelegt ist. Die
Querschnittsstruktur eines solchen Modulators ist in
Abb. 23 dargestellt. Zielstellung ist die Integration des
Modulators mit der entsprechenden Treiberschaltung im
Front-End-of-Line.
–
S electe d
P rojects
Apart from passive waveguide technology IHP also
works on the development of active devices. An essential active component is the optical modulator
that transforms signals from the electrical to the optical domain. Integrated modulators should have a
speed > 10 Gbps. In the frame of the European project
HELIOS, a first modulator prototype is under development in IHP technology with modulation frequencies
up to about 10 GHz. The cross-section of such a structure is shown in Fig. 23. The objective of this work is
the integration of the optical modulator in the electronic front-end of line technology of IHP.
Abb. 22 (a): REM-Bild eines Ring-Resonators und Bus-Wellenleiter. Abb. 22 (b): Gemessene Filtercharakteristik.
Fig. 22 (a): SEM-image of a ring resonator device plus bus waveguide. Fig. 22 (b): Filter characteristics of a ring resonator.
Abb. 23: Querschnitt einer optischen Modulatorstruktur,
wie sie im Projekt HELIOS realisiert wird.
Fig. 23: Cross-section of an optical modulator structure that is
realized in the frame of the project HELIOS.
Annual
Repo r t
201 0
59
A usge w ä hlte
60
P rojekte
–
S electe d
P rojects
Fertigung von Nanoelektroden zur Immobilisierung von Molekülen
Fabrication of Nanoelectrodes for Immobilization of Molecules
Die Labordiagnostik spielt eine zentrale Rolle im Prozess
der Vorsorge, Diagnostik und Therapiebegleitung von Patienten. Zur Unterstützung medizinischer Diagnosen und
als Basis für eine schnelle Intervention des Arztes (z.B. in
der Notfallmedizin) ist eine zeitnahe Analyse der aktuellen Patientensituation dringend gefordert.
Laboratory diagnosis plays a central role in the process of screening, diagnosis and therapy of patients.
To support medical diagnosis and as a basis for rapid
intervention of the physician (e.g. in emergency medicine), a contemporary analysis of the current patient situation is urgently required.
Forscher des Fraunhofer IBMT und des IHP entwickeln
diagnostische Mikrosysteme, die sämtliche analytischen
Prozesse integrieren und die gewonnenen Informationen
an einen geeigneten Datensammler übermitteln (z.B. die
elektronische Patientenakte beim Arzt).
Researchers of Fraunhofer IBMT and of IHP develop
diagnostic microsystems which integrate analytical
processes and communicate the obtained data wirelessly to a suitable data collector (e.g. the electronic
patient record of the doctor).
Das Grundkonzept wird anhand so genannter „autonomer Biosensoren“ deutlich: Diese Sensoren vereinen den
Zugang zur biochemischen Ursache (Probenahme, Präanalytik), die molekulare Erkennung, die Umsetzung des
Signals und dessen unmittelbare Verarbeitung vor Ort
sowie die Weiterleitung eines aufbereiteten Signals an
ein Netzwerk oder einen Datenknoten. Die Integration
der letzten beiden Schritte ist völliges Neuland und stellt
einen Paradigmenwechsel dar. Dieser wird erst durch den
heute erreichten Grad der Miniaturisierung in der Mikroelektronik ermöglicht und kann nur durch eine effektive
Zusammenarbeit von Spezialisten aus bisher getrennt arbeitenden Fachrichtungen realisiert werden.
The basic concept is illustrated by so-called “autonomous biosensors”: these sensors combine access to
the biochemical cause (sampling, pre-analysis), molecular recognition, conversion of the signal and its
immediate on-site processing and the transmission
of the processed signal to a network or a data node.
The integration of the last two steps is a completely
new territory and represents a paradigm shift. This is
now possible due to the achieved degree of miniaturization in microelectronics and can only be realized
through effective cooperation of specialists from previously separate sets of disciplines.
Ein Schwerpunkt des Projektes ist es, die Verknüpfung
der Infrastruktur des IHP, die streng auf Mikroelektronik
ausgerichtet ist, mit den Belangen der Biodiagnostik vorzubereiten.
One focus of the project is preparing the infrastructure of the IHP, which is strictly geared to microelectronics, to the needs of Biodiagnostics.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
Es wurden Nanostrukturen für die Immobilisierung von
Molekülen zur Bioanalyse am IHP gefertigt, siehe Abb.
24. Durch elektrische Felder können Dipolmomente in
neutrale Moleküle induziert werden. Die Wechselwirkung
zwischen den induzierten Dipolen und dem äußeren
Wechselfeld ermöglicht eine kontrollierte Orientierung
der zu untersuchenden Moleküle. Mit der Anwendung der
Halbleitertechnologien zur Strukturierung der Elektroden
können die Kraftfelder auch im mikroskopischen Maßstab
kontrolliert werden.
–
S electe d
P rojects
Nanostructures were fabricated for the immobilization of molecules for bioanalysis at IHP, as shown in
Fig. 24. Dielectric fields can induce dipole moments in
neutral molecules. The interaction between the induced dipoles and the external alternating field allows
a controlled orientation of the examined molecules.
With the application of semiconductor technology for
the structuring of the electrodes, the force fields can
also be controlled in the microscopic scale.
Abb. 24: Nanoelektroden zur Immobilisierung von Molekülen.
Fig. 24: Nanoelectrodes for immobilisatzation of molecules.
Annual
Repo r t
201 0
61
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Graphen
Graphene
Ziel des Projektes ist die Entwicklung von Graphen-basierten elektronischen Bauelementen mit Arbeitsfrequenzen im THz-Bereich.
The goal of the project is the development of graphene-based electronic devices with operation frequencies extending into the THz regime.
Graphen ist eine einatomare Schicht von Kohlenstoff mit
der Struktur einer Honigwabe und außerordentlichen
physikalischen Eigenschaften. Seine extrem geringe
Dicke, hohe Leitfähigkeit, Ladungsträgerbeweglichkeit
und Sättigungsdriftgeschwindigkeit können Leistung
und Funktionalität der SiGe-Technologie erhöhen, indem ultraschnelle Graphenbauelemente integriert werden. Zwei wichtige Voraussetzungen für die Realisierung
dieser Vision sind die Synthese von Graphen auf isolierendem Substrat und die Entwicklung von Bauelementekonzepten, die die außerordentlichen Eigenschaften von
Graphen ausnutzen.
Graphene is a single layer of carbon atoms arranged
in a honey-comb lattice with outstanding physical
properties. Its ultimate thinness, low resistivity, high
carrier mobility and saturation velocity can be exploited to realize ultra-fast electronic devices which can
boost Si:Ge technologies and would provide a performance gain and new functionalities on Si. Two of the
most important prerequisites for realizing this vision
are the development of graphene synthesis methods
on insulating substrates and novel tailored device
concepts fully exploiting graphene’s amazing properties.
Innerhalb des Projektes wurde eine weltweit einmalige
Synthesemethode von Graphen auf isolierendem Silikatsubstrat entwickelt (ohne Transferprozess). Dieser
Prozess beinhaltet das Verdampfen von Kohlenstoff aus
einer Feststoffquelle im Ultrahochvakuum bei Substrattemperaturen unterhalb 1000°C. Dabei findet auf der
sehr ebenen Silikatoberfläche mit nur geringer Wechselwirkung zum Kohlenstoff eine Nukleation der Atome mit
nachfolgendem Wachstum einer sp2-Schicht statt (Abb.
25, links). Wichtiges Verständnis der Wachstumskinetik
wurde durch ab initio Simulationen erreicht (Abb. 25,
rechts).
Within this project a worldwide unique synthesis method enabling direct (without any transfer process)
deposition of graphene onto insulating silicate substrates was established. This process involves evaporation of carbon from a solid-state source in ultra-high
vacuum and with substrate temperatures not exceeding 1000°C. Under these conditions, the weakly interacting and very smooth silicate surface allows for
nucleation and successive growth of sp2 carbon layers
(Fig. 25, left). Important insights into the growth kinetics were achieved by ab initio density functional
theory simulations (Fig. 25, right).
Abb. 25: Optische Abbildung von mehrschichtigem Graphen auf isolierendem Silikatsubstrat (links).
Wachstum von Graphen auf einer Silikatoberfläche, simuliert durch ab initio Rechnung (rechts).
Fig. 25: Optical microscope image of a multilayer graphene flake deposited directly onto an insulating silicate substrate (left).
Result of ab initio calculations illustrating the growth of graphene on the silicate surface (right).
62
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Parallel zu den Forschungsarbeiten zum Graphenwachstum laufen die Entwicklung und Realisierung neuer
Graphenbauelementekonzepte. Der Fokus liegt auf Lösungen, die sich signifikant von den etablierten Graphenfeldeffekttransistoren (GFET) unterscheiden. Intensiv
wird am Konzept eines Transistors mit Graphenbasis
(GBT) gearbeitet. Eine schematische Darstellung dafür
ist in Abb. 26 zu sehen.
The research efforts dedicated to graphene deposition methods run in parallel with the development and
realization of new graphene device concepts. Here,
the focus is on alternative solutions which are significantly different with respect to the mainstream
graphene field effect devices (GFETs). One of the
intensively studied concepts is the graphene base
transistor (GBT). A schematic illustration of a GBT is
shown in Fig.26.
Im GBT ist die Graphenschicht zwischen zwei isolierenden
sowie zwei metallischen Schichten angeordnet. Die erste Metallschicht bildet den Elektronenemitter und die
zweite Metallschicht den Kollektor. Graphen wirkt dann
als Basiselektrode, die den Elektronenfluss vom Emitter
zum Kollektor steuert. Da die Graphenbasis sehr dünn ist
(~0,3 nm) und einen sehr geringen Widerstand aufweist,
wird erwartet, dass das vorgeschlagene Bauelement bei
sehr hohen Frequenzen bis in den THz-Bereich arbeiten
kann. Erste Bauelementesimulationen und die experimentelle Umsetzung einer Konzeptstudie sind in Arbeit.
In a GBT, the graphene layer is sandwiched between
two insulator layers and two metal layers. The first
metal layer functions as electron emitter and the second metal layer as collector. The graphene layer acts
as base electrode which controls the flow of electrons
from the emitter to the collector. Since the graphene
base electrode is ultrathin (~0.3 nm) and exhibits a
very low resistivity, the proposed device is expected
to be capable of operation at very high frequencies
extending into the THz regime. Preliminary device
simulations and experimental realization of a proofof-concept device are in progress.
Abb. 26: Schematische Darstellung des Konzeptes für einen
Transistor mit Graphenbasis (GBT).
Fig. 26: Schematic illustration of the graphene base transistor
(GBT) concept.
Annual
Repo r t
201 0
63
A usge w ä hlte
64
P rojekte
–
S electe d
P rojects
1T1R HfO2-basierte RRAM für e-NVM Module
1T1R HfO2-based RRAM for e-NVM
Modules
Neben der weiteren Miniaturisierung Si-basierter Schaltungen („More Moore“), wird der zukünftige Fortschritt
auch durch die Integration verschiedener Funktionalitäten für hochwertige Systeme geprägt sein („More
than Moore“). Der „More than Moore“-Ansatz steht im
Mittelpunkt der IHP Forschungsstrategie, die auf Aktivitäten im Bereich fortschrittlicher System-on-Chip (SoC)
Lösungen für die drahtlose SiGe:C BiCMOS Kommunikation fokussiert ist. Die Integration eingebetteter nichtflüchtiger Speichermodule (e-NVM) folgt diesem „More
than Moore“-Ansatz.
Besides further miniaturization of Si microelectronics
circuits (“More Moore”), future progress will also be
determined by integrating various functionalities to
established Si microelectronic technologies to create
high value systems (“More than Moore”). The “More
than Moore” approach is at the heart of IHP´s research strategy, focussing its activities on advanced
system-on-chip (SoC) solutions for wireless SiGe:C
BiCMOS communication systems. The integration of
embedded non-volatile memory (e-NVM) modules follows the “More than Moore” approach.
Allerdings erfordert die Integration von e-NVM in einem
komplexen SiGe:C BiCMOS Reinraum die Identifikation
von a) kostengünstigen Prozessabläufen (z.B. FrontEnd-of-Line (FEOL) vs. Back-End-of-Line (BEOL) und
b) kompatiblen Materialsystemen.
However, the integration of e-NVM in a complex SiGe:C
BiCMOS cleanroom environment requires to identify
a) cost-effective process flow strategies (e.g. frontend of line (FEOL) vs. back-end of line (BEOL) concepts) and b) compatible material systems.
Unter den verschiedenen derzeit in der Literatur diskutierten e-NVM Technologien fiel die Wahl am IHP auf
das widerstandsschaltende Random Access Memory
(RRAM) Konzept. RRAM ist attraktiv, weil es eine vergleichsweise einfache und kostengünstige Integration
im BEOL Prozessablauf erlaubt.
Among various e-NVM technologies currently discussed in the literature, the choice was made at IHP
for the Resistance change Random Access Memory
(RRAM) concept. RRAM is attractive because it offers
a comparatively easy and cost-effective integration
concept in the BEOL process flow.
Dies ist darin begründet, dass RRAM Speicher auf einer einfachen Metall-Isolator-Metall (MIM) Struktur
basieren, deren Widerstand zwischen einem niedrigresistiven ON- und einem hoch-resistiven OFF-Zustand
als Funktion der angelegten elektrischen Impulse definiert wird. Das resistive Schaltverhalten wurde in einer
großen Anzahl von binären Übergangsmetalloxiden wie
NiO, TiO2, ZrO2, und CuxO beobachtet. Kürzlich wurde
Hafniumdioxid (HfO2) aufgrund seiner BEOL Si BiCMOS
Kompatibilität untersucht. Darüber hinaus zeigte die
Integration einer CMOS-kompatiblen Ti Deckschicht auf
HfO2 Filmen eine vielversprechende Verbesserung der
RRAM Schalteigenschaften.
This is true because the RRAM memory is based on a
simple metal-insulator-metal (MIM) structure in which
low-resistance “ON-” and high-resistance “OFF-”
states are defined by a resistance change of the insulator as a function of electrical pulses. The resistive
switching behavior was observed in a large number of
binary transition metal oxides such as NiO, TiO2 , ZrO2,
and CuxO. Recently, hafnium dioxide (HfO2) was widely studied because of its compatibility with typical
BEOL Si CMOS processing. In addition, the integration
of a CMOS compatible Ti overlayer on HfO2 was shown
to be promising for the RRAM cell performance.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Im Rahmen der engen Zusammenarbeit mit der
Technologieabteilung konnte die Integration von
TiN / HfO2 / Ti(oben) / TiN MIM Speicherzellen (1R Architektur) im BEOL CMOS Prozess erzielt werden. Die
1R Speicherzellen zeigten mit ausreichender Statistik
das Potenzial des RRAM Konzepts für e-NVM Module
hinsichtlich der Langzeit- und Temperaturstabilität, offenbarten aber auch Limitierungen in der Anzahl der
Schaltzyklen. Daher wurde die 1R Architektur hin zu einer 1T1R-Architektur mit Auswahl-Transistor erweitert.
Given the strong collaboration with the IHP technology department, we integrated TiN / HfO2 / Ti(top) / TiN
MIM memory cells (1R architecture) in the BEOL CMOS
technology process. These 1R devices demonstrated
with sufficient statistics the potential of the RRAM
concept for e-NVM modules in terms of retention,
temperature stability etc., but also highlighted severe limitations with respect to cycling endurance.
Therefore, the 1R architecture was extended towards
an active matrix concept with a select transistor
(1T1R architecture).
Ein elektronenmikroskopisches Querschnittsbild der am
IHP gefertigten 1T1R-Architektur ist in Abb. 27 zu sehen. Um das resistive Schaltverhalten der Speicherzellen
zu beobachten, ist ein Formierungsprozess notwendig
(Einsatz in Abb. 28). Dieser spannungsinduzierte Formierungsprozess führt zu einem schwachen Durchbruch
der MIM Zelle. Typische Formierungsspannungen liegen
bei VD = 2,7 V und VG = 0,7 V.
Fig. 27 shows the cross-sectional transmission electron microscopy (XTEM) image of the integrated 1T1R
memory cell. An electroforming process is required to
initiate the resistive switching behavior of the memory element (inset Fig. 28). This forming process drives
the cell into a voltage-induced soft breakdown. A typical forming process is achieved by applying VD = 2.7 V
and VG = 0.7 V.
Abb. 27: Transmissionselektronenmikroskopisches Bild einer
1T1R Architektur mit 1×1 μm2 RRAM Zellen.
Fig. 27: Transmission electron microscopy cross-sectional
image of a 1T1R architecture with 1×1 μm2 cells.
Abb. 28: I-V Charakteristik mit 103 Schaltzyklen. Die Pfeile
kennzeichnen die Durchlaufrichtung. Das rechte Inset
zeigt den spannungsgesteuerten Formierungsprozess.
Fig. 28: I-V characteristics with 103 cycles. Arrows indicate
sweeping directions. The right inset shows the forming
process in voltage-controlled mode.
Annual
Repo r t
201 0
65
A usge w ä hlte
66
P rojekte
–
S electe d
P rojects
Die Strom-Spannungs (I-V) Charakteristik mit 103
Schaltzyklen ist in Abb. 28 dargestellt, wenn die DrainSpannung in den markierten Schritten 1 bis 4 durchfahren wird. Durch das Anlegen einer positiven Spannung am Gate des Transistors kann die Speicherzelle
in den ON-Zustand geschaltet werden. Vg = 2,5 V wurde
während des Einschaltvorgangs beibehalten, um den
Strom durch die TiN / HfO2 / Ti(oben) / TiN Struktur zu
begrenzen. Die Zelle kann wieder in den OFF-Zustand
mit VG = 0 V geschaltet werden.
The resistive switching characteristics are shown in
Fig. 28, which demonstrates 103 cycles as the drain
voltage is swept in the marked steps from 1 to 4. By
applying a positive voltage at the gate of the control transistor, the memory cell can be switched to
the ON-state. Vg was maintained at 2.5 V during the
set process in order to limit the current through the
TiN / HfO2 / Ti(top) / TiN structure. The MIM cell can
be switched back to the OFF-state for VG = 0 V.
Die 1T1R-Architektur zeichnet sich aus durch kurze
Schaltzeiten < 100 ns, ein Widerstandsverhältnis von
mehr als 10, und eine ausgezeichnete Temperaturstabilität bis 125 °C. Zur weiteren Beurteilung des Potentials der RRAM Speicherzellen für e-NVM Module und zur
weiteren Untersuchung von z.B. Auslesefehlern wurde
das Design eines 4 kb MIM Arrays mit Peripherie in enger Zusammenarbeit mit der Abteilung System Design
spezifiziert und erstellt.
The 1T1R devices showed fast resistance switching
<100 ns, a high-resistance to low-resistance ratio of
more than 10, and excellent temperature stability up
to 125 °C. To further evaluate the potential of RRAM
for e-NVM modules and to study important parameters such as read disturbance, a 4 kb MIM array with
periphery in close collaboration with IHP´s system
design department was specified and designed.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Ein elektrischer Hall-Messplatz zur Charakterisierung von funktionellen Materialien für die Mikroelektronik.
An electrical hall probe station for characterizing functional materials for microelectronics.
Annual
Repo r t
201 0
67
A usge w ä hlte
68
P rojekte
–
S electe d
P rojects
Selektive Ge CVD auf freistehendem, nanostrukturiertem Si(001)
Selective Ge CVD on free-standing, nanopatterned Si(001)
Ziel des Projektes ist es, vollständig relaxierte, dünne
(<500 nm) Ge-Schichten auf Si(001)-Substraten zu
erzeugen, die hohe strukturelle und optoelektronische
Qualität aufweisen.
The goal of the project is to create fully relaxed Ge
thin film (<500 nm) heterostructures on Si(001) with
high quality structural and optoelectronic properties.
Germanium hat in den letzten Jahren wegen seiner optoelektronischen Eigenschaften eine Renaissance als
Halbleitermaterial erfahren. Für die Mikroelektronik ist
Ge wegen seiner hohen Löcherkonzentration und -beweglichkeit als Material für den p-Kanal in modernen
CMOS-Technologien von Interesse. Ein hoher Absorptionskoeffizient im nahen Infrarot in Verbindung mit
Möglichkeiten zur Variation der Bandlücke macht es
darüber hinaus zu einem begehrten Material für CMOSkompatible photonische Bauelemente. Ein wesentliches Problem für die Integration von Ge hoher Güte
auf Si stellt jedoch die Gitterfehlanpassung von 4,2 %
dar, welche zum Stranski-Krastanov-Wachstum mit einer Dichte durchreichender Versetzungen führt, die für
viele Anwendungen zu groß ist.
For the past few years, Ge has been experiencing a renaissance as a semiconductor material due to its interesting optoelectronic properties. In microelectronics, the high hole concentration and mobility makes
Ge interesting as p-channel material for advanced
complementary metal-oxide-semiconductor (CMOS)
technologies. Additionally, high absorption coefficient in the near-infrared wavelengths regime together
with the possibility of band gap engineering makes it
a potential material for Si CMOS compatible photonic
devices. The major stumble block for the integration
of high quality Ge on Si is, however, given by the 4.2 %
lattice mismatch which results in a complex StranskiKrastanov growth mode with a density of threading
dislocations (TD) too high for many applications.
Eine vielversprechende Methode zur Reduzierung der
Dichte dieser Versetzungen ist das selektive Wachsen
in kleinen Bereichen. Das Projekt ist auf das heteroepitaktische Wachsen von Ge auf freistehenden Si(001)Nanostrukturen fokussiert. Selektives Wachstum wird
dabei realisiert durch a) Bedeckung des nanostrukturierten Si-Substrats mit einer SiO2-Maske und b) das
Öffnen von Wachstumskeimen durch lokales Entfernen
des SiO2. Ein Feld von Si-Inseln mit ~100 nm Durchmesser und 360 nm Periodizität wurde so realisiert
(Abb. 29).
A very promising method to reduce the density of TD
is to grow Ge selectively in a limited area. The project is focused on the selective Ge heteroepitaxy on
free-standing nanopatterned Si(001) structures. The
selective growth is triggered by a) covering the nanostructured Si substrate with SiO2 growth mask and
b) opening nucleation seeds by locally removing the
SiO2 in the desired areas. An array of Si islands of
~100 nm in diameter with the periodicity of 360 nm
was realized (Fig. 29).
Synchrotron-basierte Röntgenstreuung am Europäischen Synchrotron ESRF in Frankreich bestätigte, dass
der Prozess zur Ge-Nanoepitaxie auf den freistehenden
Si-Strukturen zu (fast) vollständig relaxiertem Ge führt
(Abb. 30). Die Spannungsenergie wird weitestgehend
durch die Bildung eines Versetzungsnetzwerkes an der
Ge / Si-Grenzfläche abgebaut. Solange die Wachstumsmaske nicht überwachsen wird, sind die Ge-Nanostrukturen von hoher Qualität und fast defektfrei (Abb.31).
Die Abbildung enthält auch die Gleichgewichtskristall-
As demonstrated by synchrotron based X-ray diffraction studies, the selective Ge nanoheteroepitaxy process on the free standing Si nanostructures results
in a (nearly) fully relaxed Ge (Fig. 30). Most of the
strain energy is released by the nucleation of a misfit
dislocation network at the Ge / Si interface. Additionally, as long as the epilayer does not overgrow the
SiO2 growth mask, the Ge nanostructures are of high
quality and mostly defect-free (Fig. 31). The figure
also includes the Wulff construction, based on the
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
struktur (Wulff-Konstruktion), basierend auf den Oberflächenergien der verschiedenen Oberflächenorientierungen. Die große Übereinstimmung ist ebenfalls ein
Indiz für das voll relaxierte Wachstum.
equilibrium crystal shape according to the various
surface energies of the facets. As the superimposed
Wulff-construction widely reproduces the experimental crystal shape, it is a further indication for relaxed
Ge growth.
Neben dem klassischen Ansatz sollen künftige experimentelle Untersuchungen auch die theoretischen Möglichkeiten der Nanoheteroepitaxie (NHE) erkunden.
Vorausgesetzt, dass das Anwachsgebiet klein genug
ist (für das Ge / Si-System ca. 50 nm und weniger),
kann mit einem elastischen Nachgeben des Substrats
gerechnet werden. Solch eine 3-dimensionale Spannungsreduzierung in Verbindung mit geeigneter Spannungsverteilung eröffnet die Vision des Wachstums von
defektfreiem Ge auf Si(001).
Besides the classical approach, future studies will
also be focused on the experimental evaluation of the
theory of nanoheteroepitaxy (NHE). Provided that the
seeding area is small enough (in case of Ge / Si system: about 50 nm and less), one may expect a so-called compliant substrate effect. Such a 3D stress relief
mechanism together with the strain partitioning phenomena evokes a vision for growing defect-free Ge on
Si(001).
Abb. 29: REM-Bild eines Gebietes mit Si-Nanoinseln.
Fig. 29: SEM micrograph of an array of Si nanoislands.
2.05
Si
5.0E8
5.0E7
2.00
5.0E6
SiGe
Abb. 31: Querschnitts-TEM-Bild von epitaktisch gewachsenem Ge
auf freistehenden Si-Nanostrukturen.
Fig. 31: Cross section TEM image of epi-Ge grown on a
free-standing Si nanostructure.
5.0E5
L
5.0E4
1.95
5.0E3
5.0E2
1.90
1.85
1.85
Ge
1.90
1.95
H
2.00
2.05
Abb. 30: SR-GID-Messung am (202) reziproken Gitterpunkt einer
Probe mit Ge-Inseln.
Fig. 30: SR-GID reciprocal space map of the (202) reflection
of a sample with Ge dots.
Annual
Repo r t
201 0
69
A usge w ä hlte
70
P rojekte
–
S electe d
P rojects
Neuartige Lichtemitter auf der Basis von
Germanium-Silizium-Heterostrukturen
Novel Germanium / Silicon Heterostructure-based Light Emitters
Die Anwendung von silizium-basierten Bauelementen
in der Photonik stößt neuerdings auf großes Interesse.
Der Bedarf an schnelleren und kleineren Kommunikationsgeräten und Rechnern treibt die silizium-basierte
Technologie zu neuen Ansätzen, welche weit über den
Rahmen der klassischen Mikroelektronik hinausgehen.
Derzeit ist eine praktische Umsetzung solcher Bauelemente im Grunde durch die geringe Fähigkeit des Siliziums zur Lichterzeugung begrenzt. Silizium ist ein
indirekter Halbleiter, weshalb ein strahlender BandBand-Übergang ein Ereignis mit einer geringen Wahrscheinlichkeit ist.
The application of silicon-based devices in photonics
is currently attracting the attention of researchers
worldwide. The need for faster and smaller communication and computing devices drives the technology to new approaches lying far beyond the frames of
classical microelectronics. Currently, a technological
implementation of such devices is basically hampered
by the low light emission capabilities of silicon. Indeed, the indirect band gap transition, which governs
the silicon radiation, is a low probability recombination process and its utilization is difficult.
Eine spezielle Klasse von Bauelementen auf der Basis
von Germanium-Silizium-Heterostrukturen zeigt bemerkenswerte Lichtemissionseigenschaften und weckt
neue Hoffnungen. Die Bauelemente nutzen den direkten optischen Übergang in Germanium, welcher der
erforderlichen Kommunikationswellenlänge von 1,55
µm entspricht. Die Leuchtdioden (LEDs) sind in der
Regel auf zugverspannten (0,2 bis 0,25 %), wenige
Mikrometer dicken Germaniumschichten mit niedriger
Versetzungsdichte aufgebaut, welche auf Siliziumsubstraten gewachsen sind. Eine hohe n+- Dotierung (Phosphor, 8x1019 cm-3) ist einer der wesentlichen Faktoren,
um eine starke direkte Lumineszenz zu erzeugen.
A special class of devices based on germanium / silicon heterostructures with remarkable light emission
properties has generated new hope. It uses the direct
optical transition in germanium which corresponds to
the required communication wavelength of 1.55 µm.
The light emitting devices (LEDs) are usually fabricated on tensile strained (0.2-0.25 %) and a few µm
thick germanium layers with low dislocation density,
grown on silicon substrates. High n+ doping (phosphorus, 8x1019 cm-3) is reported to be one of the key
factors to achieve strong direct-gap luminescence.
Hier wird ein alternatives Konzept gezeigt, das in Kooperation mit dem IHT Stuttgart entwickelt wurde und ein
Lumineszenzspektrum mit einer dominanten direkten
Linie um 1,55 µm erzeugt. Dafür werden undotierte
und spannungsfreie Germanium-Schichten mit hoher
Versetzungsdichte (einige 108 bis 1010 cm-2) auf Silizium verwendet. Die starke direkte Strahlung wird dabei
durch die positive Rolle der Versetzungen erreicht.
Here we demonstrate an alternative concept developed in collaboration with IHT Stuttgart. Luminescence with a strong direct line around 1.55 µm is
obtained using undoped and unstrained germanium
layers with high dislocation density (several 108 up to
1010 cm-2) on Si. We show that strong direct band gap
radiation can be reached due to the beneficial role of
the dislocations.
Die in Stuttgart entwickelten LEDs, hergestellt in quasi-planarer Technologie, sind im Grunde eine pin-Diode,
die Licht unter Vorspannung in Durchlassrichtung erzeugt.
The LEDs developed at IHT Stuttgart are fabricated in
a quasi-planar technology and basically represent a
p-i-n diode, which emits light when biased in forward
direction.
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Abb. 32 zeigt das Spektrum bei 300 K, gemessen an
einem Bauelement in Betrieb. Das Spektrum besteht
aus einer dominierenden direkten Linie um 0,8 eV (1,55
µm) und einer Reihe von Maxima, die indirekten BandÜbergängen entsprechen und durch Phonon-Wechselwirkungen hervorgerufen werden. Die Einfügung
in der Abbildung zeigt die Intensität in Abhängigkeit
vom Strom. Die Intensitäts-Strom-Charakteristik folgt
einem Potenzgesetz mit einem Exponenten von m =
1,7. Der Exponent überschreitet erheblich den Literaturwert. Er ist ein Maß für die Effizienz der strahlenden
Rekombination, wobei niedrigere Werte eine stärkere
nichtstrahlende Rekombination anzeigen.
Fig. 32 shows the spectrum of the device in operation
at 300 K. There is a direct transition related germanium line around 0.8 eV (1.55 µm) which dominates
the spectrum and a number of peaks of indirect band
transitions mediated by phonon interactions. The inset shows the EL intensity at peak position as function of the drive current. The intensity-to-current
characteristics is governed by a power law with an exponent m = 1.7, which significantly exceeds the value
reported in literature. The exponent is related to the
radiative recombination efficiency, with lower values
pointing to larger non-radiative recombination.
Die positive Rolle der Versetzungen ist mit der Bildung
von flachen Energieniveaus unterhalb der Kante des
Leitungsbandes verbunden. Diese Energieniveaus erleichtern die Besetzung des direkten Minimums mit
Überschussträgern aus dem indirekten Minimum. Es
wird ein Zwischenzustand angeboten für einen Zweischritt-Anregungsprozess. Auf diese Weise können Träger aus dem indirekten Minimum leicht in das direkte
springen, wo sie eine direkte Rekombination erfahren
und zur Lichtemission beitragen (Abb. 33).
The beneficial role of the dislocations is based on
shallow energy levels below the edge of the conduction band. These levels favour the occupation of the
direct band minimum by excess carriers, providing an
intermediate state for a two-step excitation process.
Thus, carriers in the indirect minimum can easily be
transferred into the direct one, where they undergo a
direct recombination and contribute to light emission (Fig. 33).
Abb. 32: Lumineszenzspektren des neuartigen Lichtemitters in
Abhängigkeit vom Strom, gemessen bei 300 K. Eingefügtes
Diagramm: Lumineszenzintensität im Maximum als
Funktion des Stromes.
Fig. 32: Luminescence spectra of the novel light emitter for
different drive currents, measured at 300 K.
Inset: Luminescence intensity at maximum vs. drive
current.
Abb. 33: Energie-Diagramm des Zweischritt- Anregungsprozesses
der Träger aus dem indirekten Bandminimum ins direkte
Minimum des Germanium- Leitungsbandes.
Fig. 33: Energy diagram of dislocation-mediated, two-step
excitation process of carriers from the indirect into
the direct conduction band minimum of germanium.
Annual
Repo r t
201 0
71
A usge w ä hlte
P rojekte
–
S electe d
P rojects
Abbildende D1-Photolumineszenz für
Defektnachweis in Solar-Si
D1 Photoluminescence Imaging of
Defects in PV Silicon
Für die Qualitätskontrolle von Wafern und Zellen in der
Photovoltaik werden zunehmend kameragestützte Lumineszenzsysteme eingesetzt. Unser Ziel war es, auch
für die in solchen Materialien vorhandenen Defekte
Nachweismethoden zu entwickeln und zu bewerten.
Camera-based luminescence systems are increasingly
used in photovoltaics for monitoring the electrical
quality of wafers and cells. Our goal was to also develop and evaluate imaging methods for the detection
of defects in such materials.
Die elektrischen Eigenschaften von multikristallinen
Siliziumwafern werden in starkem Maße durch Kristalldefekte wie Versetzungen und Korngrenzen bestimmt.
Die Kenntnis ihrer Verteilung und Aktivität ist daher
von beträchtlichem Interesse. Diese Defekte führen zu
unterschiedlichen Lumineszenzbändern bei Energien
unterhalb des Band-Band (BB)-Überganges. Bei Raumtemperatur wird üblicherweise nur das D1-Band nachgewiesen (Abb. 34). Die Intensität dieses Bandes erlaubt einen Zugang zu der Verteilung von Versetzungen
und Korngrenzen.
The electrical properties of multicrystalline silicon
wafers are largely governed by crystal defects, in particular dislocations and grain boundaries. Knowledge
about their distribution and activity is therefore of
substantial interest. These defects are known to exhibit different luminescence bands at energies below
the band-to-band (BB) transition. At room temperature, usually only the D1 band is detected (Fig. 34).
Accordingly, the intensity of this band can yield
access to the distribution of dislocations and grain
boundaries.
Abb. 34: Typisches Lumineszenzspektrum aus einem defektreichen
Bereich einer Probe aus Solarsilizium mit Band-Band (BB) und D1-Linie bei Raumtemperatur.
Fig. 34: Typical luminescence spectrum of a defect-rich area of a
solar silicon sample at room temperature, showing
band-to-band (BB) and D1 lines.
72
An n ual
R e p or t
2 01 0
A usge w ä hlte
P rojekte
Die Apparatur für abbildende Photolumineszenz basiert auf einer InGaAs-Kamera. Die Beleuchtung der
Probe wird durch einen Laser oder durch eine Matrix von
Leuchtdioden realisiert. Der spektrale Bereich der detektierten Lumineszenzstrahlung kann durch geeignete
Bandfilter gewählt werden. Abbildende Lumineszenz im
Bereich des D1-Bandes kann genutzt werden, um selektiv versetzungsreiche Gebiete sichtbar zu machen. Abb.
35 illustriert die Möglichkeiten dieser Technik. Die BBund D1-Bilder liefern sich ergänzende Informationen.
Während helle Strukturen im D1-Bild auf Versetzungen
und Korngrenzen zurückzuführen sind, widerspiegeln
dunkle Bereiche im BB-Bild Gebiete reduzierter Trägerlebensdauer, die durch alle Defekte im jeweiligen Gebiet verursacht werden. Im Unterschied zu Elektrolumineszenz, die nur bei kompletten Solarzellen anwendbar
ist, kann abbildende Photolumineszenz praktisch in
allen Schritten der Solarzellenprozessierung und damit
für die Verfolgung der Defektentwicklung genutzt werden. Die Methode ist schnell und flexibel und kann für
eine Inline-Kontrolle in der Zellproduktion eingesetzt
werden.
–
S electe d
P rojects
The setup used for photoluminescence imaging is
based on an InGaAs camera. Illumination of the sample is realized by a laser or an array of light emitting
diodes. The spectral range of the emitted luminescence light can be selected by appropriate band filters. Luminescence imaging in the D1 range can be
used to selectively uncover dislocation-rich areas.
Fig. 35 illustrates the possibilities of the technique.
BB and D1 images provide complementary information. While bright features in the D1 image are related
to dislocations and grain boundaries, dark features in
the standard BB image reflect the total carrier lifetime
arising from all defects in the respective region of the
sample. Unlike electroluminescence imaging applicable only to complete solar cells, photoluminescence
imaging can be applied at virtually any stage of solar cell processing enabling the monitoring of defect
evolution. The method is fast and flexible enough to
be used as an inline tool in solar cell production.
Abb. 35: Abbildungen eines multikristallinen Siliziumwafers bei T=300K unter Nutzung des BB- und D1-Lumineszenzbandes.
Die Aufnahmezeit für die Bilder betrug 33 bzw. 500 ms.
Fig. 35: Photoluminescence images of a multicrystalline silicon wafer, demonstrating BB and D1 imaging at T=300K.
The capture times of the images were 33 and 500 ms, respectively.
Annual
Repo r t
201 0
73
G emeinsame
L abor E
–
J oint
Joint Labs
74
An n ual
R e p or t
2 01 0
L ab S
G emeinsame
L abor E
–
J oint
L ab S
Gemeinsames Labor IHP / BTU Cottbus
Joint Lab IHP / BTU Cottbus
Das Gemeinsame Labor IHP / BTU auf dem Campus der
Brandenburgischen Technischen Universität (BTU) Cottbus besteht seit 2000. Es bündelt die Forschungspotentiale beider Partner und leistet, unter maßgeblicher Einbeziehung von Studenten, interdisziplinäre Forschung
auf dem Gebiet der Halbleitermaterialien. Dabei bezieht es Lehrstühle der BTU in seine Forschungstätigkeit ein wie Experimentalphysik, Theoretische Physik,
Physikalische Chemie oder Schaltkreisentwurf. Darüber
hinaus beteiligte sich auch die Fachhochschule Lausitz
mit technisch-präparativen Arbeiten.
The Joint Lab IHP / BTU located on campus at the
Brandenburg Technical University Cottbus (BTU) was
founded in 2000. It pools the research potential of the
partners IHP and BTU and conducts interdisciplinary
research – with substantial participation of students
– in the field of silicon-based semiconductor materials. Experimental Physics, Materials Science, Theoretical Physics, Physical Chemistry and Circuit Design
are closely involved in its research activities. Furthermore, the nearby University of Applied Sciences
Lausitz is also associated with the Joint Lab and has
contributed engineering and preparation work.
National kooperiert das Gemeinsame Labor im Rahmen
seiner Projektarbeit mit einer Reihe von Forschungseinrichtungen wie dem MPI Halle, den Universitäten Göttingen, Halle und Stuttgart, der RWTH Aachen, dem HZB
Berlin, dem IKZ Berlin oder dem FZ Jülich sowie mit Unternehmen aus der Silizium-Branche wie der Siltronic
AG, der Conergy SolarModule GmbH, der Schott Solar
Wafer GmbH oder der CSG Solar AG.
Within the framework of its research projects, the
Joint Lab collaborates on contract basis nation-wide
with various research facilities such as the MPI Halle,
HZB Berlin, IKZ Berlin, FZ Jülich, universities in Göttingen, Halle and Stuttgart, RWTH Aachen, and with
silicon companies such as Siltronic AG, Conergy SolarModule GmbH, Schott Solar Wafer GmbH and CSG
Solar AG.
Eine wichtige Aufgabe stellt der Ausbau der internationalen Vernetzung des Gemeinsamen Labors dar. Die BTU
und das IHP sind über das Gemeinsame Labor Mitglied
im internationalen Konsortium SiWEDS (Silicon Wafer
Engineering & Defect Science Center, siehe http://mse.
utdallas.edu/siweds/), dem renommierte Halbleiterfirmen und namhafte Universitäten angehören. Unter
den bestehenden internationalen Verbindungen sind
besonders die engen Kontakte mit der Universität St.
Petersburg (Russland) und SOITEC Bernin (Frankreich)
hervorzuheben.
The expansion of its international networking is a
further important task of the Joint Lab. BTU Cottbus
and IHP – via the Joint Lab IHP / BTU – are members
of the international consortium SiWEDS (Silicon Wafer
Engineering & Defect Science Center, see http://mse.
utdallas.edu/siweds/), associating reputed semiconductor companies and well-known universities.
Among existing international scientific contacts, collaboration with the Institute of Physics at the St. Petersburg State University (Russia) and SOITEC Bernin
(France) has grown particularly close.
Das Gemeinsame Labor führt Forschungsarbeiten durch,
deren Ziel es ist, bisher ungenutzte Eigenschaften des
Siliziums für einen künftigen Einsatz auf neuen Gebieten zu erschließen. Auf der Basis der Ergebnisse dieser
Vorlaufforschung können für das IHP Entscheidungen
für seine zukünftige inhaltliche Ausrichtung vorbereitet werden.
The Joint Lab conducts research aimed at utilizing
silicon properties that have not been used to date for
new application areas. Based on the results of this
forerunning research, decisions regarding future research directions of IHP are prepared.
Annual
Repo r t
201 0
75
G emeinsame
76
L abor E
–
J oint
L ab S
Die nachfolgend aufgeführten Forschungsschwerpunkte
sollen Beiträge zur Weiterentwicklung der Mikroelektronik, zur Einführung einer Si-basierten Nanoelekronik
und Photonik und zur Unterstützung der Si-basierten
Photovoltaik liefern und werden im Rahmen von Projekten, meist in Arbeitsteilung mit externen Partnern
und unter Hinzuziehung von BTU-Lehrstühlen, verfolgt:
- Versetzungs-Engineering und Ge-Schichten für
Lichtemitter und andere Anwendungen,
- Si-Nanostrukturen,
- Si-Wafer für zukünftige Technologiegenerationen,
- Elektrische Aktivität von Kristalldefekten in Solar-Si,
- Entwicklung spezieller Mess- und Diagnoseverfahren.
The research topics listed below aim to deliver contributions for the future development of microelectronics, for the implementation of Si-based nanoelectronics and photonics, and for the support of Si-based
photovoltaics. The activities are typically organized
in the form of projects, usually carried out in collaboration with external partners and including BTU
chairs when useful:
- Dislocation-engineering and Ge layers for light
emitters and other applications,
- Si nanostructures,
- Si wafers for future technology generations,
-Electrical activity of crystal defects in solar
silicon,
- Development of special methods for measurement
and diagnostics.
Im Jahr 2010 bearbeitete das Gemeinsame Labor zehn
Drittmittelprojekte, darunter vier BMBF-Projekte, ein
BMU-Projekt, zwei Projekte, die von der Technologiestiftung Berlin bzw. der Investitionsbank des Landes Brandenburg gefördert wurden, und drei Industrieprojekte.
Durch diese Projekte standen in 2010 mehr als 650 T
Euro Drittmittel zur Verfügung, die vom IHP bzw. der
BTU verwaltet wurden.
In 2010 the Joint Lab worked on ten projects funded
by third parties, among them four projects funded by
BMBF (Federal Ministry of Education and Research),
one project funded by BMU (Federal Ministry for the
Environment, Nature Conservation and Nuclear Safety), two projects funded by Technologiestiftung Berlin and Investitionsbank des Landes Brandenburg, respectively, and three industry funded projects. More
than € 650 k third-party funds were available for the
projects running in 2010. The funds were administrated by IHP and BTU Cottbus, respectively.
Auf dem Gebiet der Photovoltaik wirkt das Gemeinsame
Labor im neu gegründeten „Kompetenzzentrum Dünnschicht- und Nanotechnologie für Photovoltaik Berlin“
(PVComB) mit.
In the area of photovoltaics, the Joint Lab participates in the work of the newly founded ‘Competence
Centre Thin-Film- and Nanotechnology for Photovoltaics Berlin’ (PVComB).
Das Gemeinsame Labor unterstützt das Lehrangebot der
BTU mit Vorlesungen, Übungen und Praktika. Weiterhin
beteiligte es sich an der Graduiertenschule DEDIS-Nano
der BTU. Im Jahr 2010 wurden eine Masterarbeit und
eine Promotion abgeschlossen.
The Joint Lab supports teaching at BTU Cottbus by
conducting lectures, exercises and practical courses.
In addition, it contributed to the graduate school DEDIS-Nano of the BTU. In 2010, one PhD thesis and one
master thesis were finished by members of the Joint
Lab.
Weiterführende Informationen über das Gemeinsame
Labor sind unter www.jointlab.de abrufbar.
For further information about the Joint Lab please visit the website www.jointlab.de.
An n ual
R e p or t
2 01 0
G emeinsame
L abor E
–
J oint
L ab S
Gemeinsames Labor IHP / TH Wildau (FH)
Joint Lab IHP / TUAS Wildau
Das gemeinsame Forschungs- und Ausbildungszentrum
(Joint Lab) des IHP und der Technischen Hochschule
Wildau (THW) wurde 2006 gegründet. Die Schwerpunkte der Arbeit des Joint Labs sind die gemeinsame
Ausbildung von Studenten auf den Gebieten Physikalische Technik, Mikroelektronik und Photonik sowie die
Entwicklung neuartiger siliziumbasierter Bauelementekonzepte und Technologien für die Hochgeschwindigkeits-Elektronik und Photonik. Von besonderem
Interesse sind neuartige Ansätze, wie z.B. die Nutzung
von Graphen für die Entwicklung von Höchstfrequenzbauelementen und deren Anwendungen. Im Joint Lab
wurden Verfahren zur Erzeugung von Graphenschichten
untersucht. Gemeinsam werden Anstrengungen unternommen, diese Schichten zum Erreichen höherer Grenzfrequenzen bis in den Terahertz-Bereich zu nutzen und
damit neue Anwendungen in der Informationstechnologie, der Sensorik und Medizintechnik zu erschließen.
The Joint Lab of IHP and the Technical University of
Applied Sciences Wildau, a joint research and education centre, was inaugurated in 2006. The key activities of this Joint Lab are the joint education of
students in the areas of physical engineering, microelectronics and photonics as well as the development
of new silicon-based device concepts and technologies for high performance electronics and photonics.
New concepts for the development of high-frequency
devices and their applications, e.g. the use of graphene, are of special interest. New technologies for
the deposition of graphene layers were evaluated.
Joint efforts are made to reach Terahertz frequencies
with such layers and to develop new applications in
information, sensor and medical technologies.
Im BMBF-Projekt „Neuartige Lichtquellen und Komponenten für Silizium-Photonik – SiliconLight“ arbeiten
die Projektpartner THW, IHP, das Joint Lab IHP / BTU
Cottbus, die Technische Universität Berlin (TUB), das
Joint Lab IHP / TUB, die Firma MergeOptics GmbH Berlin, das Max-Planck-Institut für Mikrostrukturphysik
Halle sowie die Firma Fiberware GmbH Mittweida an der
Entwicklung von Lichtquellen auf Siliziumbasis, an aktiven und passiven Komponenten für die Silizium-Photonik sowie an der Untersuchung von Möglichkeiten zu
deren Systemintegration.
In the BMBF-funded project “New light sources and
components for silicon photonics – SiliconLight” the
TUAS Wildau, the IHP, the Joint Lab IHP / BTU Cottbus,
the Technical University of Berlin (TUB), the Joint Lab
IHP / TUB, the company MergeOptics GmbH Berlin,
the Max Planck Institute of Microstructure Physics
in Halle and the company Fiberware GmbH Mittweida
cooperate to develop new silicon based light sources,
active and passive components for silicon photonics
as well as the verification of possibilities for its system integration.
Das AiF-Projekt „IQ-Level: Innovative high quality level meter“ (AiF: Arbeitsgemeinschaft industrieller
Forschungsvereinigungen) befasst sich mit der Entwicklung intelligenter Pegelmesssonden, welche in
Funknetzwerken ihre Informationen austauschen und
sammeln. Diese Entwicklungen sind für die flächendeckende Überwachung von Wasserständen in Grundwasserreservoiren, Gewässern und in küstennahen
Bereichen vorgesehen und sollen u.a. das Ressourcenmanagement erleichtern sowie die Informationslage
über Wasserstände im Katastrophenfall verbessern.
An diesem Projekt arbeiten die THW und das IHP gemeinsam mit den Partnern Prignitz Mikrosystemtechnik
The AiF-Project “IQ-level: Innovative high quality level meter” (AiF: consortium of industrial research associations) addresses the development of intelligent
level measuring probes, which collect and exchange
information in radio networks. These developments
are designed for the area-wide monitoring of ground
water levels, waters and offshore areas and among
other things aim to ease the management of resources and to improve the information on water levels
in the case of catastrophes. The TUAS Wildau and the
IHP are working on this project together with the
partners Prignitz Mikrosystemtechnik GmbH Wittenberge, Quantum Hydrometrie GmbH Berlin and the
Annual
Repo r t
201 0
77
G emeinsame
78
L abor E
–
J oint
L ab S
GmbH Wittenberge, Quantum Hydrometrie GmbH Berlin
sowie die Frankfurter Wasser- und Abwassergesellschaft
mbH Frankfurt (Oder).
Frankfurter Wasser- und Abwassergesellschaft mbH
Frankfurt (Oder).
Die THW und das IHP arbeiten schon seit Jahren in regionalen Netzwerken (z.B. DiagnostikNet) und in anderen Vorhaben wissenschaftlich zusammen. Hierbei
stand die Schnittstelle zwischen Siliziumtechnologien
und Analytik in wässrigen Lösungen im Mittelpunkt.
Zwei Arbeitsgruppen der THW arbeiten im BMBF Verbundprojekt IpoGly mit fünf weiteren Partnern zusammen, darunter auch das IHP, welches im Bereich der
Integration eines speziellen Glucose-Nachweises seine
Expertise einbringt.
The TUAS Wildau and the IHP have been working in
scientific cooperation for years in regional networks
(e.g. DiagnostikNet) and other projects. The center
of this cooperation was at the intersection between
silicon technologies and analytics in aqueous solutions. Two working groups of the TUAS Wildau are
cooperating with five further partners in the BMBF
funded cooperative project IpoGly, including the IHP,
which contributes the expertise for the integration of
a special glucose detection method.
Die gemeinsame Berufung auf die Professur „Halbleitertechnologie“ in der Studienrichtung Physikalische
Technik der THW, verbunden mit der Leitung des Joint
Lab IHP-THW, hat einen stimulierenden Einfluss auf die
laufenden Arbeiten. Wissenschaftler des IHP sind mit
einer Vorlesungsreihe zur modernen Halbleitertechnologie, einschließlich neuester Diagnostikverfahren, der
Photolithographie und dem Plasmaätzen in der Lehre
engagiert. Nach erfolgreichem Abschluss dieser Lehrveranstaltung bietet das IHP den Studierenden der THW
Praktikumsplätze im Rahmen der Veranstaltung „Chip
Processing“ an, wo die Studierenden das erworbene
Wissen unmittelbar in ihrer praktischen Tätigkeit einsetzen. Darüber hinaus halten Wissenschaftler des IHP
Vorlesungen im Rahmen des Masterstudiengangs PHOTONIK der THW.
The joint appointment for a professorship “Semiconductor Technology” in Engineering Physics at the
TUAS, connected with the leadership of the Joint Lab
IHP / TUAS Wildau, has a stimulating effect on the
current activities. IHP scientists compiled a lecture
course on modern semiconductor technology including the latest diagnostic procedures, photolithography and plasma etching. After completing the
course IHP offers TUAS students interesting traineeships within the activity “Chip Processing”, where the
students immediately use the acquired knowledge
in practice. Moreover, IHP scientists give lectures
within the master course PHOTONIK at the TUAS.
Aus den gemeinsamen Aktivitäten in der Ausbildung
sind mehrere sehr erfolgreiche Master- und Diplomarbeiten entstanden. So wurde eine Masterarbeit zum
Thema „DUV-Technologie zur Herstellung von BraggGittern auf Silizium-Wellenleitern“ am IHP durchgeführt und von Wissenschaftlern der TUB und der THW
betreut. Eine Diplomarbeit zur Verbesserung der Übertragungseigenschaften der Wellenleiter ist erfolgreich
verteidigt worden. Insgesamt wurden drei gemeinsame
Diplomarbeiten mit sehr guten Leistungen abgeschlossen. Im Frühjahrsemester 2011 werden zwei Bachelor-,
eine Diplom- und eine Praktikumsarbeit von Studenten
der THW am IHP begonnen.
Several successful master and diploma theses originated from the joint education activities. A master thesis on “DUV technology for the fabrication of Bragggratings” was written at the IHP and supervised by
scientists of the TU Berlin and the TUAS Wildau. A diploma thesis on the improvement of transmission properties of wave guides was defended successfully. A
total of three joint diploma theses were finished with
very good results. Two joint bachelors theses, one diploma thesis and one internship of TUAS students at
the IHP will start in the spring semester 2011.
An n ual
R e p or t
2 01 0
G emeinsame
L abor E
Eine Reihe von Absolventen hat nach Abschluss der
Ausbildung im Rahmen eines Arbeitsverhältnisses die
Tätigkeit am IHP fortgesetzt. Damit wurde ein Beitrag
dazu geleistet, gut ausgebildete junge Ingenieure in
der Region zu halten.
–
J oint
L ab S
Numerous graduates started working at the IHP after
their studies. This helps to keep young and qualified
engineers in the region.
Rasterelektronenmikroskop-Aufnahme eines Mikroresonators als ein-dimensionaler photonischer Kristall in einem Silizium-Wellenleiter
(Resonator-Design – TUB / THW, hergestellt am IHP Frankfurt (Oder): SiliconLight-Projekt). Einfügung: Gemessene Transmission des Resonators
als Funktion der Wellenlänge (Quelle: St. Meister, TUB).
REM-picture of a microresonator as a one-dimensional photonic crystal in a silicon waveguide (resonator designed at the TUB / THW and
manufactured at the IHP Frankfurt (Oder), project SiliconLight). The insertion shows the measured transmission of the resonator as a
function of the wavelength. (Source: St. Meister, TUB).
Graphenabscheidung bei 1000°C in der CVD-Reaktionskammer.
Man sieht auf dem Heizer die Kühlwendel und die Proben.
Die Hitzeschilde wurden für die Aufnahme teilweise entfernt.
(Quelle: H. Lux, THW)
Deposition of graphene at 1000°C in the CVD chamber.
The cooling coil and the samples are visible on the heater.
The heat shields were partly removed to make this picture.
(Source: H. Lux, TUAS Wildau).
Annual
Repo r t
201 0
79
G emeinsame
80
L abor E
–
J oint
L ab S
Joint Lab Silicon Photonics von IHP und
Technischer Universität Berlin
Joint Lab Silicon Photonics of IHP and TU
Berlin
Die Siliziumphotonik (Silicon Photonics) ist ein neues
und sich rasant entwickelndes Forschungsgebiet im Bereich der Optoelektronik, in dem die Technologien für
die optische Übertragung und Verarbeitung von Information auf dem Mikrochip entwickelt werden. Damit
können die sich derzeit abzeichnenden physikalischen
Grenzen der Mikroelektronik um viele Größenordnungen
ausgedehnt werden, was schnellere Chips bei gleichzeitig geringerem Energieverbrauch bedeutet.
Silicon Photonics is a rapidly developing research area
in the field of optoelectronics. In Silicon Photonics,
technologies are developed for optical on-chip data
transmission and processing. Photonic technologies
have the potential to enhance the physical limits of
present microelectronic technologies by orders of
magnitude. This will allow for the fabrication of faster ICs with lower energy consumption than current
technologies.
Im Joint Lab Silicon Photonics werden die Spitzenforschung im Bereich der Halbleitertechnologie des IHP
und die Exzellenz der TU Berlin in der Photonik gebündelt. Damit besteht ein einzigartiges Innovations- und
Kompetenzzentrum in Deutschland. Der gemeinsame
Innovationsstandort Berlin/Brandenburg wird nachhaltig gestärkt. Das Joint Lab Silicon Photonics ist die
logische Konsequenz aus der bisherigen intensiven Kooperation des IHP und der Fakultät 4 der TU Berlin auf
dem neuen Gebiet. Die offizielle feierliche Eröffnungsveranstaltung für das Joint Lab Silicon Photonics fand
am 18. Juni an der TU Berlin statt.
The Joint Lab Silicon Photonics bundles IHP’s excellence in semiconductor processing and the expertise
of TU Berlin in photonics. This creates a unique center
of innovation and excellence in Germany, enhancing
the innovation potential of Berlin/Brandenburg. The
establishment of the Joint Lab is the logical result of
the close collaboration between IHP and Faculty 4 at
TU Berlin in Silicon Photonics. The official opening
ceremony of the Joint Lab Silicon Photonics was conducted at TU Berlin on June 18.
Das Joint Lab Silicon Photonics verfolgt die Strategie,
gemeinsam Forschungsprojekte einzuwerben und als
Plattform für anwendungsorientierte Forschung zu
agieren, die die Zusammenarbeit mit Hochschulen und
Industrie sucht. Das Joint Lab arbeitet eng mit Firmen
der Region und im europäischen Rahmen mit Spitzeninstitutionen auf dem Gebiet der Siliziumphotonik zusammen. Dabei wurden im Rahmen des Clusters IHP/TU
Berlin bereits 2.5 Mill. Euro an Drittmitteln eingeworben (EU, BMBF, DFG).
It is the strategy of the Joint Lab to jointly acquire
research funding and to act as a platform for application-oriented research seeking cooperation with
industry and academia. Therefore, the Joint Lab
collaborates closely with companies from Berlin /
Brandenburg. On the European level, the Joint Lab
cooperates with top-level research labs in the area
of Silicon Photonics. So far, the Joint Lab has been
able to acquire 2.5 million Euro of research funding
for the cluster IHP / TU Berlin, coming from funding
programs and agencies such as EU-FP7, BMBF, DFG.
Neben der gemeinsamen Forschungsaktivität verbessert das Joint Lab Silicon Photonics auch die akademische Anbindung des IHP. Das Joint Lab ist mit zwei
Vorlesungen an der TU Berlin vertreten, die von Prof.
B. Tillack und Dr. L. Zimmermann gehalten werden. Im
Joint Lab werden zahlreiche Studien-, Diplom-, Masterund Doktorarbeiten betreut.
Apart from collaborative research the Joint Lab actively links IHP to academia. Currently, 2 courses at
TU Berlin are provided by IHP, lectured by Prof. B. Tillack and Dr. L. Zimmermann. A considerable number
of diploma, master, and PhD works is conducted in the
frame of the Joint Lab.
An n ual
R e p or t
2 01 0
G emeinsame
L abor E
–
J oint
L ab S
Prof. Kazumi Wada, Tokyo University, der Ehrengast der JointLab-Eröffnungsveranstaltung (rechts) und Prof. Bernd Tillack (mitte) am
Focused-Ion-Beam-Laborgerät (FIB) im IHP bei der Betrachtung einer TEM-Lamellenpräparation.
Prof. Kazumi Wada (Tokyo University) is a guest of honor at the Joint Lab Opening Event (to the right) and Prof. Bernd Tillack (in the middle)
at the Focused-Ion-Beam equipment (FIB) at the IHP observing a TEM lamellae preparation.
Annual
Repo r t
201 0
81
Z usammenarbeit
un d
P artner
–
C ollaboration
an d
P artners
Collaboration and Partners
82
An n ual
R e p or t
2 01 0
Z usammenarbeit
un d
P artner
Industrie / Industry*
advICo microelectronics GmbH, Germany
Air Liquide Deutschland GmbH, Germany
AIXTRON AG, Germany
Alcatel-Lucent Deutschland AG, Germany
Alma Consulting Group S.A.S., France
alpha microelectronics GmbH, Germany
AMD, Germany
AMO GmbH, Germany
AUCOTEAM – Ingenieurgesellschaft für Automatisierungs- und Computertechnik mbH, Germany
Austriamicrosystems AG, Austria
Berliner Feuerwehr, Germany
Bio Sensor Technology GmbH, Germany
BRAHMS AG, Germany
Federal Office for Information Security, Germany
Celestrius AG, Switzerland
Centellax Inc., USA
Centrotherm Thermal Solutions GmbH & Co. KG,
Germany
Cisco Systems GmbH, Germany
Conergy SolarModule GmbH, Germany
CoreOptics GmbH, Germany
European Aeronautic Defence and Space Company,
Germany
European Institute for Research and Strategic Studies in Telecommunications GmbH, Germany
European Space Agency, Germany
FHR Anlagenbau GmbH, Germany
First Solar Manufacturing GmbH, Germany
France Telecom SA, France
Frankfurter Wasser- und Abwassergesellschaft mbH,
Germany
FSUE S&PE Pulsar, Russia
Genesys Ltd., Kiev, Ukraine
Helenic Aerospace Industry, Greece
Hubner & Suhner AG, Switzerland
IMST GmbH, Germany
Infineon Technologies AG, Germany
Institut Industrial IT (inIT), Germany
Institut für Umwelttechnologien GmbH, Berlin
InnoSenT GmbH, Germany
–
C ollaboration
an d
P artners
ITAVA Systems GmbH, Germany
Kayser-Threde GmbH, Germany
Landshut Silicon Foundry GmbH, Germany
Lantiq Deutschland GmbH, Germany
Lesswire AG, Germany
LIMETEC Biotechnologies GmbH, Germany
LIONIX BV, The Netherlands
Lucent Technologies Network Systems GmbH, Germany
MEDAV GmbH, Germany
MergeOptics GmbH, Germany
MEYTEC GmbH Informationssysteme, Germany
Micro Lambda Wireless, USA
Mikron JSC Moscow, Russia
Namlab GmbH, Germany
Nanotron Technologies GmbH, Germany
NEC Europe Ltd., UK
Nokia Siemens Network, Finland
NXP Semiconductors Netherlands B.V., The Netherlands
Odersun AG, Germany
Pac Tech GmbH, Germany
Phasor Solutions, UK
Philips Consumer Lifetime, The Netherlands
Philotech GmbH, Germany
Phoenix Contact GmbH & Co. KG, Germany
Photline Technologies SA, France
PicoQuant GmbH, Germany
PREMA Semiconductor GmbH, Germany
PRIGNITZ Mikrosystemtechnik GmbH, Germany
Q-Cells AG Thalheim, Germany
Quantum Hydrometrie Gesellschaft für Mess- und
Systemtechnik mbH, Germany
Robert Bosch GmbH, Germany
Rohde & Schwarz GmbH & Co. KG, Germany
Schwarting-Biosystem GmbH, Germany
SELMIC, Finland
Sequence Design, USA
SICK AG, Germany
Siemens AG, Germany
Siemens Austria
SiGe Semiconductor Inc., Canada
Silicann Systems GmbH, Germany
Silicon Radar GmbH, Germany
Silicon Wafer Engineering and Defect Science, USA
Annual
Repo r t
201 0
83
Z usammenarbeit
un d
P artner
Silistix Ltd., UK
Siltronic AG, Germany
SIRRIX AG, Germany
SiTec GmbH, Germany
Skyvision Ltd., Finland
SPiDCOM Technologies, France
ST Microelectronics SA, France
STEP Sensortechnik und Elektronik Pockau GmbH,
Germany
TeleBITcom GmbH, Germany
Telecom Italia S.P.A, Italy
TES Electronic Solutions GmbH, Germany
Texas Instruments AG, Germany
Thales Berlin, Germany
Thales Communication, Italy
TimeKontor AG, Germany
Toshiba Research Europe Ltd., UK
VDI/VDE Innovation + Technik GmbH, Germany
VI Systems GmbH, Germany
Wacker Chemie AG, Germany
What!What! Records, Germany
X-FAB Semiconductor Foundries AG, Germany
XMOD Technologies, France
*Ausgewählte Partner / Selected partners
Forschungsinstitute und Universitäten / Research Institutes and Universities*
ASTRON- Netherlands Institute for Radio Astronomy,
The Netherlands
Australia Telescope National Facility, Australia
Brandenburg University of Technology, Germany
Brandenburg University of Applied Sciences, Germany
Budapest University of Technology and Economics,
Hungary
California Institute of Technology, USA
Center for Energy Efficent Electronics Science,
Berkeley, USA
84
An n ual
R e p or t
2 01 0
–
C ollaboration
an d
P artners
Telemedizinzentrum Charité – Universitätsmedizin
Berlin, Germany
CERN, France
Chemnitz University of Technology, Germany
Christian-Albrechts-University of Kiel, Germany
Democritus University of Thrace, Greece
EPFL, Switzerland
Eindhoven University of Technology, The Netherlands
ESA / ESTEC – TEC-ETP, The Netherlands
ETH Zurich, Switzerland
ETRI – Electronics and Telecommunications Research
Institute, Korea
Eurescom, Germany
European Synchrotron Radiation Facility, Germany
European University Viadrina, Germany
Ferdinand-Braun-Institut, Leibniz-Institut für
Hoechstfrequenztechnik, Germany
FHTW Berlin, Germany
Forschungszentrum Jülich, Germany
Fraunhofer HHI, Germany
Fraunhofer IAF, Germany
Fraunhofer IBMT, Germany
Fraunhofer IIS, Germany
Fraunhofer IZM, Germany
Fraunhofer ISE, Germany
Freie Universität Berlin, Germany
French National Center for Scientific Research, France
Friedrich-Alexander-University of
Erlangen-Nuremberg, Germany
German Aerospace Center, Germany
Hangzhou Dianzi University, China
Helmholtz-Centre Berlin for Materials and Energy,
Germany
Helmholtz-Zentrum Dresden-Rossendorf, Germany
Humboldt University of Berlin, Germany
IMEC, Belgium
INESC Inovação - Instituto De Novas Tecnologias,
Portugal
INP Greifswald e.V., Germany
INRIA – National Institute for Research in Computer
Science and Control, France
INSA – Institut National des Sciences Appliquees
de Rennes, France
Institute of Microelectronics, Singapore
Institute for Solar Energy Research Hameln / Emmerthal, Germany
Z usammenarbeit
un d
P artner
Karlsruhe Institute of Technology, Germany
KTH Stockholm, Sweden
Leibniz Institute for Solid State and Materials
Research Dresden, Germany
Leibniz Institute for Crystal Growth, Germany
Leibniz Institute for Solid State and Materials
Research, Germany
Leibniz University Hannover, Germany
LETI, France
Luleå University of Technology, Sweden
Max-Planck Institute for Metal Physics Stuttgart,
Germany
Max Planck Institute for Microstructure Physics,
Germany
Max Planck Institute for Physics, Germany
Nanoelectronic Materials Laboratory, Germany
Nanosens, The Netherlands
National and Kapodistrian University of Athens,
Greece
National Institute for Materials Science, Japan
National Taiwan University, Taiwan
National Nano Device Laboratories, Taiwan
National Nanotechnology Fabrication Center, Korea
Netherlands Organisation for Applied Scientific
Research, The Netherlands
Next Generation Media, Federal Ministry for
Economics and Technology, Germany
Otto von Guericke University Magdeburg, Germany
Paul Drude Institute for Solid State Electronics,
Germany
Poznan University of Technology, Poland
Progress Microelectronics Research Institute, Russia
Ruhr-University Bochum, Germany
RWTH Aachen, Germany
Sabanci University Istanbul, Turkey
Saint Petersburg State University, Russia
Technical University of Berlin, Germany
Technical University of Ilmenau, Germany
Technical University of Ukraine, Ukraine
Technische Universität Bergakademie Freiberg,
Germany
Technical University Carolo-Wilhelmina at Brunswick,
Germany
Technical University Dresden, Germany
Technical University Graz, Austria
Technical University Hamburg-Harburg, Germany
–
C ollaboration
an d
P artners
Technical University Munich, Germany
Tecnatom S.A., Spain
Technology Transfer Center of East Brandenburg,
Germany
Tohoku University Sendai, Japan
UCL Leuven, Belgium
Universidad Politécnica de Madrid, Spain
University of Cantabria, Spain
University of Udine, Italy
University of Bologna, Italy
University of the Bundeswehr Munich, Germany
University of Applied Sciences Wildau, Germany
University of Bologna, Italy
University of California (UCLA), USA
University of Dortmund, Germany
University of Helsinki, Finland
University of Houston Texas, USA
University of Kassel, Germany
University of Leipzig, Germany
University of Malaga, Spain
University of Manchester, UK
University of Osnabrück, Germany
University of Oulu, Finland
University of Oxford, UK
University of Paderborn, Germany
University of Paris-Sud 11, France
University of Potsdam, Germany
University of Siegen, Germany
University of St Andrews, UK
University of Stuttgart, Germany
University of Surrey, UK
University of Toronto, Canada
University of Twente, The Netherlands
University of Ulm, Germany
Bergische University of Wuppertal, Germany
Vienna University of Technology, Austria
Vilnius University, Lithuania
VTT Technical Research Centre of Finland, Finland
Weierstrass Institute for Applied Analysis and
Stochastics, Germany
West Pomerania University of Technology Szeczin,
Poland
Yonsei University, Korea
Zhejiang University, China
*Ausgewählte Partner / Selected partners
Annual
Repo r t
201 0
85
G ast w issenschaftler
un d
S eminare
–
G uest
S cientists
an d
S eminars
Guest Scientists and Seminars
86
An n ual
R e p or t
2 01 0
G ast w issenschaftler
un d
S eminare
–
G uest
S cientists
an d
S eminars
Gastwissenschaftler / Guest Scientists
Gastwissenschaftler Guest Scientists
1.Mr. Michael Augustin
Institution Forschungsgebiet
InstitutionResearch Area
Brandenburg University of Technology, Cottbus, GermanySystem Design
2.Mr. Ahmed AwnyUniversity of Paderborn, GermanyCircuit Design
3.Dr. Antonio Di BartolomeoUniversity of Salerno, ItalyMaterials Research
4.Dr. Maciej BazarnikPoznan University of Technology, PolandMaterials Research
5.Mr. Thomas BertaudLTM CNRS, Grenoble, FranceMaterials Research
6.Mr. Jim Fiorenza
AmberWave Systems, Salem, New Hampshire, USAMaterials Research
7.Mr. Andrzej GajdaTechnical University of Berlin, Germany
Technology
8.Mr. Seunghyun JangElectronics and Telecommunications Research
Institute (ETRI), Daejeon, KoreaCircuit Design
9.Mr. Myung-Jae LeeYonsei University, Seoul, Korea
10.Mrs. Jana Matejova
Technology
Charles University in Prague, Czech RepublicMaterials Research
11.Mr. Enrique MirandaUniversity of Barcelona, SpainMaterials Research
12.Prof. Junichi MurotaTohoku University, Sendai, Japan
Technology
13.Mr. Bonghyuk ParkElectronics and Telecommunications
Research Institute (ETRI), Daejeon, KoreaCircuit Design
14.Mrs. Sarah RöheUniversity of Bremen, GermanyMaterials Research
15.Dr. Florencio Sanchez
Instituto de Ciencia de Materiales de Madrid, SpainMaterials Research
16.Dr. Tobias SchulliEuropean Synchrotron Radiation Facility (ESRF),
Grenoble, FranceMaterials Research
17.Dr. Ibrahim Tekin
Sabanci University, Istanbul, Turkey
Technology
18.Dr. David ThomsonUniversity of Surrey, UK
Technology
19.Prof. Oleg Vyvenko
St. Petersburg State University, RussiaMaterials Research
20.Prof. Kazumi WadaThe University of Tokio, Japan
Technology
21.Prof. Ya-Hong XieUniversity of California, Los Angeles, USAMaterials Research
Annual
Repo r t
201 0
87
G ast w issenschaftler
un d
S eminare
–
G uest
S cientists
an d
S eminars
Seminare / Seminars
Vortragender
Presenter
1.Prof. Lambert Alff
Institution
Institution
Darmstadt University of Technology, Germany
Thema
Topic
Designed thin film materials for
oxide electronics
2. Dr. Antonio University of Salerno, ItalyElectronic Applications of
Di Bartolomeo
Carbon Nanotubes
3.Prof. Frederico University of Bologna, Italy
Boscherini
X-ray Absorption Fine Structure
in Semiconductor Physics
4.Prof. Edmund P. BurteOtto von Guericke University of Magdeburg, Materials for Non-Volatile Memories
Germany
5.Prof. Giovanni CapelliniUniversity of Rome, ItalyThe SiGe activity at RM3: islands,
multi-layers and, possibly, a Quantum
Cascade Laser based on silicon
6.Prof. Ryszard CzajkaPoznan University of Technology, Poland
Characterization of Si Surfaces, (Ag)n
Clusters, Metal Silicides‘ Nanostructures, and Individual Organic Molecules
by Means of STM & STS Methods
7. Dr. PinarDogan & Paul Drude Institute for Solid State Pendeoepitaxial overgrowth and
Dr. Oliver BrandtElectronics, Berlin, Germany
coalescence of GaN nanowires on
Si(111) by molecular beam epitaxy
8.Prof. Frank Fitzek
Aalborg University, DenmarkTowards Very High Transmission Rates
for Cooperating Mobile Devices
9. Dr. Jim Fiorenza
AmberWave Systems, Salem, New Hampshire, USA
Aspect Ratio Trapping: A Heterointegration Solution for Ge and III-V CMOS
10.Mr. Jean Fompeyrine
IBM Research - Zuerich Research The Future of Nanoelectronics
Laboratory, Switzerland
88
11.Prof. Wolfgang Heinrich Ferdinand-Braun-Institut (FBH), Leibniz-
& Mr. Andreas Wentzel Institut für Höchstfrequenztechnik, Berlin, Germany
Advanced Switch-Mode Concepts
using GaN: The Class-S Amplifier
12.Prof. Karsten Horn
Fritz Haber Institute, Berlin, Germany
Graphene – Preparation and Electronic
Structure
13.Prof. Ingmar Kallfass
Active MMIC Technology for
200-300 GHz Wireless Data Links
An n ual
R e p or t
2 01 0
Karlsruhe Institute of Technology (KIT),
Germany
G ast w issenschaftler
un d
S eminare
–
G uest
S cientists
an d
S eminars
14.Prof. Viktor Krozer
Goethe University, Frankfurt am Main, Germany
Herausforderungen an Siliziumtechnologien in High-Speed und Terahertz
Anwendungen
15.Dr. Max C. Lemme
Current Status of Graphene Transistors
Harvard University, Cambridge, USA
16.Dr. Gregor Mussler
Forschungszentrum Jülich, Germany
MBE-growth of SiGe heterostructures
for electronic and opto-electronic
applications
17.Dr. Piotr Plotka
Gdansk University of Technology, Poland
10-nm GaAs-Based Devices Fabricated
with Monolayer Precision for Terahertz
Electronics
18.Dr. Marian W. RadnyPoznan University of Technology, Poland
Slab-thickness effects on the Ge(001)
Surface
19.Prof. Bernd Leibniz Institute of Surface Modification Rauschenbach (IOM), Leipzig, Germany Selbstorganisierte Nanostrukturen
auf Halbleiteroberflächen durch niederenergetische Ionenbestrahlung
20.Prof. Matthias Rudolph Brandenburg University of Technology, Noise Modeling of GaAs HBTs
Cottbus, Germany
21.Dr. Florencio Sánchez
Institute of Materials Science of Barcelona Integration of epitaxial films of ferro(ICMAB), Spain & Spanish National Research magnetic CoFe2O4 with dissimilar
Council (CSIC), Madrid, Spain
materials
22.Dr. Tobias SchulliEuropean Synchrotron Radiation Facility (ESRF), Grenoble, France
X-rays inside nanostructures: from in
situ growth to device structures
23.Prof. Oliver G. Schmidt Institute for Integrative Nanosciences (IFW), Dresden, Germany
Quantum Dots and Smart Tubes for
on- and off-Chip Applications
24.Prof. Roland ThewesTU Berlin, Germany
CMOS Sensor Arrays for Bio-Molecule
Detection and Neural Tissue Interfacing
25.Dr. Markus WedlerUniversity of Kaiserslautern, Germany
Formal Verification of Systems-onChip – Industrial Practices
26.Prof. Martin Weinelt
Max-Born-Institut, Berlin, GermanyTwo-photon photoemission study of
Si(100) – a benchmark for electronic
structure and carrier dynamics at semi
conductor surfaces
27.Prof. Eli YablonovitchUniversity of California, Berkeley, USANew US National Center for Energy
Efficient Electronics Science (E3S)
Annual
Repo r t
201 0
89
P ublikationen
–
P ublications
Publications
90
An n ual
R e p or t
2 01 0
E R S C H I E N E N E
P ublikationen
Erschienene Publikationen
Published Papers
(1) Optimization of the Luminescence
Properties of Silicon Diodes Produced by
Implantation and Annealing
T. Arguirov, T. Mchedlidze, M. Reiche,
M. Kittler
Solid State Phenomena 156-158, 579 (2010)
Incorporation of optical components into microelectronic devices will significantly improve their performance. Absence of effective Si-based light emitter
hampers such integration. In the present work light
emitting Si diodes, fabricated by dopant (boron or
phosphorous) implantation and annealing are investigated. Different implantation doses and annealing temperatures were employed. The efficiency of
the electroluminescence (EL), obtained from such
structures was measured and correlated with the fabrication process parameters. As previously reported,
the EL of band-to-band radiative transition in Si is
strongly influenced, by the dopant implantation dose,
i.e. higher doses usually enhance EL. Our results suggest that the effect is mainly related to the increase
of minority carrier lifetime in the substrate. Distinct
measurements showed that the higher implantation
doses lead longer carrier lifetimes in the samples. The
correlation between lifetime and the EL efficiency
could be satisfactory explained in the frame of a classical model, considering the carrier-injection dependence of the rates of the three main recombination
mechanisms in silicon, i.e. multi-phonon, radiative
and Auger recombination. We suppose that the increase in the implantation dose improves minority carrier lifetime due to the gettering of impurity atoms
from the substrate material to the highly doped emitter region.
(2) Investigations of Thermal Annealing
Effects on Electrical and Structural
Properties of SrTaO based MIM Capacitor
C. Baristiran-Kaynak, M. Lukosius, I. Costina,
B. Tillack, Ch. Wenger, G. Ruhl, S. Rushworth
Microelectronic Engineering 87, 2561 (2010)
–
P ublishe d
PA P E R S
The annealing effects on dielectric and electrode
materials in Ti / SrTaO / TaN / TiN / Ti / Si metal–insulator–metal (MIM) capacitors were studied. The
electrical and structural properties were investigated
after subjecting the samples to annealing temperatures of 500 °C, 700 °C and 900 °C. The electrical results revealed that the dielectric constant (k value)
of Sr–Ta–O increased from 18 to 50 with increasing
annealing temperature. This improvement in k value
can be associated to the crystallization of dielectric
layer. However, the leakage current density increased
several orders of magnitudes with increase of the annealing temperatures. This observation was attributed to crystallization of dielectric, degradation of TaN
electrode and out-diffusion of Si from the substrate.
(3) Systemarchitektur intelligenter
Sensorimplantate
T. Basmer, P. Kulse, M. Birkholz
Biomedical Engineering / Zeitschrift für
Biomedizinische Technik 55, 43 (2010)
Intelligente Implantate gewinnen zunehmend an
Bedeutung. Sie zeichnen sich durch eine möglichst
weitgehende Miniaturisierung und einen geringen Energiebedarf aus. Die Kleinheit des Systems ist wichtig
für seine Akzeptanz beim Patienten und eine medizinisch wenig aufwändige Implantationstechnik. Der
geringe Energiebedarf ist wichtig für die Lebensdauer
des Systems. Diese Arbeit stellt die allgemeinen in jedem intelligenten Sensorimplantat benötigten Komponenten vor und diskutiert ihren Einsatz am Beispiel
eines in Entwicklung befindlichen Glucosesensors.
(4) A Thin Film Approach to Protein
Crystallography
M. Birkholz
Nuclear Instruments and Methods in Physics
Research B 268, 414 (2010)
A novel approach for the investigation of proteins or
macromolecules is outlined in this conceptual study.
The preparation of grapho-epitaxial layers on nanotemplated substrates is proposed as an alternative to
the preparation of single crystals by vapour diffusion
techniques. Crystal structure investigations of such
Annual
Repo r t
201 0
91
E R S C H I E N E N E
P ublikationen
layers may then be performed via grazing-incidence
diffraction (GIXRD) in the Laue mode. Quantitative
expressions for the position and intensities of XRD
peaks in this geometry are presented that fully consider the effects of refraction and absorption. A simulation of the Laue-GIXRD pattern of single-crystalline
layers of Concanavalin A is given. The main challenges
of the approach are concluded to relate to the preparation of single-crystalline protein layers. However,
if those obstacles could be overcome, a 10-100-fold
faster sample throughput would become possible.
(5) Corrosion-Resistant Metal Layers from a
CMOS Process for Bioelectronic
Applications
M. Birkholz, K.-E. Ehwald, D. Wolansky,
C. Baristiran-Kaynak, M. Fröhlich, H. Beyer,
A. Kapp, F. Lisdat
Surface and Coatings Technology 204, 2055
(2010)
The use of the dominant CMOS (complementary metal–oxide–semiconductor) process technology in
perspective bioelectronic applications imposes severe restrictions on the materials used with respect
to their stability in aqueous solutions with high concentrations of electrolytes. We report the results of a
comparative study of Al:Cu, CoSi2 and TiN metal layers
that were prepared within a regular CMOS process and
characterized by depth profiling with X-ray photoelectron spectroscopy (XPS) in order to determine chemical composition and contaminants. The corrosion
caused by isotonic NaCl solution was investigated
and the most pronounced corrosion resistance was
observed for TiN layers showing only negligible conductivity degradation when exposed to high concentration of electrolytes at elevated temperature for a
time span of days. In addition, TiN layer electrodes
turned out to be stable in an electrochemical cell over
a large potential range and a wide pH range. No electrocatalytic activity for the conversion of hexacyanoferrate or catechol has been found. It is concluded
that from the different metal layers available in CMOS
processing, TiN layers are best suited for biomedical
electrode applications.
92
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
(6) Separation of Extremely Miniaturized
Medical Sensors by IR Laser Dicing
M. Birkholz, K.-E. Ehwald, M. Kaynak, T. Semperowitsch, B. Holz, S. Nordhoff
Journal of Optoelectronics and Advanced
Materials 3(12), 479 (2010)
A microchip separation process operating by pulsedwave IR laser irradiation was applied to microviscosimeters that are intended to operate as glucose
sensors for continuous monitoring of blood sugar
levels in diabetics. After its technological preparation the sensor should no more dry up, since the
retreating water would stick a movable cantilever to
the ground plate and thereby plastically deform the
sensor’s micromechanics. The cooling-free IR laser
dicing process was thus chosen for the separation. It
is shown that virtually particle free dices with lateral
dimension down to some 100 µm could be prepared.
The process is concluded to enable the dry, fast and
clean separation of MEMS devices.
(7) Application of Wireless Sensor Networks in
Critical Infractructure Protection Challenges and Design Options
L. Buttyan, D. Gessner, A. Hessler,
P. Langendörfer
IEEE Wireless Communications 17(5), 44
(2010)
The protection of critical infrastructures provides an
interesting application area for wireless sensor networks. Threats such as natural catastrophes, criminal
or terrorist attacks against CIs are increasingly reported. The large-scale nature of CIs requires a scalable and low-cost technology for improving CI monitoring and surveillance. WSNs are a promising candidate
to fulfill these requirements, but if the WSN becomes
part of the CI in order to improve its reliability, then
the dependability of the WSN itself needs to be significantly improved first. In this article we discuss
the challenges and potential solutions to achieve dependability of WSNs taking into account accidental
failures as well as intentional attacks. We inspect the
whole system starting from individual sensor nodes
via the protocol stack to the middleware layer above.
E R S C H I E N E N E
P ublikationen
–
P ublishe d
PA P E R S
(8) Impact of Si Cap Layer Growth on Surface
Segregation of P Incorporated by Atomic
Layer Doping
Y. Chiba, M. Sakuraba, B. Tillack, J. Murota
Thin Solid Films 518, S231 (2010)
(10) Integrated Adjustable Phase Shifters
F. Ellinger, U. Mayer, M. Wickert, N. Joram, J.
Wagner, R. Eickhoff, I. Santamaria,
J.C. Scheytt, R. Kraemer
IEEE Microwave Magazine 11(6), 97 (2010)
In atomic layer doping of P using an ultraclean lowpressure chemical vapor deposition (CVD), the relationship between surface segregation of P during Si cap
layer growth at 450 °C with Si2H6 partial pressure of
3-20 Pa on P atomic layer formed on Si0.3Ge0.7 / Si(100)
and the incorporated P amount at initial position has
been investigated. For higher Si2H6 partial pressure
and for the initial P atom amount of P atomic layer
below about 4 × 1014 cm-2, the incorporated P atoms
are almost confined within the 1 nm region around
the heterostructure interface. The P amount is nearly
the same as the initial one. For initial P atom amount
higher than 4 × 1014 cm-2, P segregation on surface
is enhanced, and the incorporated P atom amount
around the heterointerface tends to saturate to maximum value of about 4 × 1014 cm-2. This maximum
value decreases with decreasing Si2H6 partial pressure. These results suggest that the number of site at
the heterointerface between Si cap layer and Si0.3Ge0.7
layer, in which P atoms are incorporated, is about 4 ×
1014 cm-2 and in the case of low Si2H6 surface coverage, the incorporated P atom amount at the heterointerface decreases due to surface segregation.
When examining a monthly bank account statement,
it is not only the number below the bottom line that
matters. Whether that number has a minus or plus in
front of it is crucial. For many technical problems, the
sign matters as well. In circuits, we can change the
sign by means of phase shifters. Moreover, by using
phase shifters, intermediate states between the signs (including complex values) can be set in circuits.
Hence, phase shifters play an important role in electrical engineering. Unfortunately, this article does
not give direct insights to change the sign of your
bank statement. However, it aims to give a comprehensive overview of tunable phase shifters for radio
frequency (RF) applications including cookbook like
design guidelines and performance comparisons. The
focus of this article is put on phase shifters fully integrated in a chip.
(9) Radiation Studies of Power LDMOS Devices
for High Energy Physics Applications
S. Diez, M. Ullán, M. Ruat, P. FernándezMartinez, A. Villamor, G. Pellegrini, M. Lozano,
R. Sorge, D. Knoll
IEEE Transactions on Nuclear Science 57(6),
3322 (2010)
We present radiation hardness studies performed on
LDMOS devices included in a 0.25 µm SiGe BiCMOS
technology from IHP Microelectronics. Results show
degradation of devices performances only beyond
1 x 1015neq / cm2.
(11) TID and Displacement Damage Effects in
Vertical and Lateral Power MOSFETs for
Integrated DC-DC Converters
F. Faccio, B. Allongue, G. Blanchot, C. Fuentes,
S. Michelis, S. Orlandi, R. Sorge
IEEE Transactions on Nuclear Science 57(4),
1790 (2010)
TID and displacement damage effects are studied for
vertical and lateral power MOSFETs in five different
technologies in view of the development of radiation-tolerant fully integrated DC-DC converters. Investigation is pushed to the very high level of radiation
expected for an upgrade to the LHC experiments. TID
induces threshold voltage shifts and, in n-channel
transistors, source-drain leakage currents. Wide variability in the magnitude of these effects is observed.
Displacement damage increases the on-resistance of
both vertical and lateral high-voltage transistors. In
the latter case, degradation at high particle fluence
might lead to a distortion of the output characteristics curve. HBD techniques to limit or eliminate the
Annual
Repo r t
201 0
93
E R S C H I E N E N E
P ublikationen
radiation-induced leakage currents are successfully
applied to these high-voltage transistors, but have
to be used carefully to avoid consequences on the
breakdown voltage.
(12) A Novel Engineered Oxide Buffer
Approach for Fully Lattice-Matched SOI
Heterostructures
A. Giussani, P. Zaumseil, O. Seifarth, P. Storck,
T. Schroeder
New Journal of Physics 12, 093005 (2010)
Epitaxial (epi) oxides on silicon can be used to integrate novel device concepts on the canonical Si platform, including functional oxides, e.g. multiferroics,
as well as alternative semiconductor approaches. For
all these applications, the quality of the oxide heterostructure is a key figure of merit. In this paper, it
is shown that, by co-evaporating Y2O3 and Pr2O3 powder materials, perfectly lattice-matched PrYO3(111)
epilayers with bixbyite structure can be grown on
Si(111) substrates. A high-resolution x-ray diffraction analysis demonstrates that the mixed oxide
epi-films are single crystalline and type B oriented.
Si epitaxial overgrowth of the PrYO3(111) / Si(111)
support system results in flat, continuous and fully
lattice-matched epi-Si(111) / PrYO3(111) / Si(111) silicon-on-insulator heterostructures. Raman spectroscopy proves the strain-free nature of the epi-Si films.
A Williamson–Hall analysis of the mixed oxide layer
highlights the existence of structural defects in the
buffer, which can be explained by the thermal expansion coefficients of Si and PrYO3.
(13) Analysis, Design, and Evaluation of LDMOS
FETs for RF Power Applications up to 6 GHz
D. Gruner, R. Sorge, O. Bengtsson,
A. Al Tanany, G. Boeck
IEEE Transactions on Microwave Theory and
Techniques 58, (12), 4022 (2010)
The analysis, design and evaluation of medium voltage
LDMOS FETs for wireless applications up to 6 GHz is
presented. Using an RF optimized NLDMOS transistor,
power devices of different transistor geometries were
fabricated in a standard 0.25 µm BiCMOS technology
94
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
with and without on-chip stabilizing networks. The
influence of the finger geometry and the stabilizing
networks on the RF performance was studied based
on small and large-signal on-wafer measurements. It
was analytically shown and experimentally verified
that transistor geometries with reduced gate width
per finger but higher number of fingers are advantageous regarding the maximum oscillation frequency.
From the source / load-pull characterization of a 1.8
mm total gate-width device, state-of-the-art largesignal performance with a maximum output power of
29.7 dBm and a peak drain efficiency of 44 % were
obtained at 5.8 GHz. Power evaluation of the LDMOS
transistors was also carried out in designed hybrid
power amplifier modules targeted for vehicular wireless LAN applications. In the 5.8-5.9 GHz band an
output power of 1 W at 1 dB power compression, an
adjacent channel power ratio of -38 dBc and an error
vector magnitude of 3 % at 1 dB peak power compression are reported.
(14) An Integrated 8-12 GHz Fractional-N
Frequency Synthesizer in SiGe BiCMOS for
Satellite Communications
F. Herzel, S.A. Osmany, K. Hu, K. Schmalz,
U. Jagdhold, J.C. Scheytt, O. Schrape,
W. Winkler, R. Follmann, D. Köther, T. Kohl, O.
Kersten, T. Podrebersek, H.-V. Heyer,
F. Winkler
Analog Integrated Circuits and Signal
Processing 65(1), 21 (2010)
We present an integrated fractional-N low-noise
frequency synthesizer for satellite applications. By
using two integrated VCOs and combining digital and
analog tuning techniques, a PLL lock range from 8 to
12 GHz is achieved. Due to a small VCO fine tuning
gain and optimized charge pump output biasing, the
phase noise is low and almost constant over the tuning range. All 16 sub-bands show a tuning range
above 900 MHz each, allowing temperature compensation without sub-band switching. This makes the
synthesizer robust against variations of the device
parameters with process, supply voltage, temperature
and aging. The measured phase noise is -87 dBc / Hz
and -106 dBc / Hz at 10 kHz and 1 MHz offset, respec-
E R S C H I E N E N E
P ublikationen
tively. In integer-N mode, phase noise values down
to -98 dBc / Hz at 10 kHz and -111 dBc / Hz at 1 MHz
offset, respectively, were measured.
(15) Analytical Phase Noise Modeling and
Charge Pump Optimization for
Fractional-N PLLs
F. Herzel, S. Osmany, J.C. Scheytt
IEEE Transactions on Circuits and Systems I
57(8), 1914 (2010)
We present an analytical frequency-domain phase-noise model for fractional-N phase-locked loops
(PLLs). The model includes the noise of the crystal
reference, the reference input buffer, the voltagecontrolled oscillator (VCO), the loop filter, charge
pump (CP) device noise, and sigma-delta modulator
(SDM) noise, including its effect on the in-band phase noise. The thermal device noise of the CP and the
turn-on time of the CP output current are found to be
limiting the in-band phase noise of state-of-the-art
synthesizers. Device noise considerations for bipolar
transistors and MOSFETs suggest the use of CMOSonly CPs, even in BiCMOS technologies. We present a
noise-optimized CMOS CP specifically designed for a
dual-loop PLL architecture using two CPs. This PLL architecture keeps the dc output voltage of the noiserelevant CP and the phase-noise spectrum constant,
regardless of temperature variations.
(16) Heavy Carbon Atomic-Layer Doping at
Si1-xGex / Si Heterointerfaces
T. Hirano, M. Sakuraba, B. Tillack, J. Murota
Thin Solid Films 518, S222 (2010)
Heavy C atomic-layer doping of about 1014 cm-2 at
the heterointerface between Si1-xGex and Si using
an ultraclean low-pressure chemical vapor deposition has been investigated. By heavy C atomic-layer doping at heterointerface between a Si cap layer
and a Si0.55Ge0.45 layer in Si / Si0.55Ge0.45 / Si(100) heterostructure, the intermixing between Si and Ge at
heterointerface is effectively suppressed. For 4 nm
thick Si0.55Ge0.45 cap layer / Si(100) heterostructure
with C atomic-layer doping, Ge fraction of 0.45 and
strain scarcely change with the heat treatment at
–
P ublishe d
PA P E R S
750 °C, while those without C atomic-layer doping are
reduced. For 40 nm thick Si0.55Ge0.45 cap layer / Si(100)
heterostructure, whose Si0.55Ge0.45 thickness is close
to the critical thickness, it is found that the strained
Si0.55Ge0.45 cap layer is relaxed by C atomic-layer doping at heterointerface. These results suggest that
the heavy C atomic-layer doping suppresses strain
relaxation as well as intermixing between Si and Ge
at the Si1-xGex / Si heterointerface especially for the
heterostructure composed of nm-order thick films.
(17) Analysis of Silicon Carbide and Silicon
Nitride Precipitates in Block Cast
Multicrystalline Silicon
M. Holla, T. Arguirov, W. Seifert, M. Kittler
Solid State Phenomena 156-158, 41 (2010)
We report on the optical and mechanical properties
of Si3N4 inclusions, formed in the upper part of mcSi blocks during the crystallization process. Those
inclusions usually appear as crystalline hexagonal
tubes or rods. Here we show that in many cases the
Si3N4 inclusions contain crystalline Si in their core.
The presence of the Si phase in the centre was proven
by means of cathodoluminescence spectroscopy and
imaging, electron beam induced current measurements and Raman spectroscopy. The crystalline Si3N4
phase was identified as β-Si3N4. Residual stress was
revealed at the particles. While the stress is compressive in the Si material surrounding the Si3N4 particles
tensile stress is found in the Si core. We assume that
the stress is formed during cool down of the Si block
and is a consequence of the larger thermal expansion coefficient of Si in comparison to that of β-Si3N4.
Iron assisted nitridation of Si at temperatures below
1400 °C is considered a possible mechanism of Si3N4
formation.
(18) Defect Characterization of Poly-Ge and
VFG-Grown Ge Material
M. Holla, T. Arguirov, G. Jia, M. Kittler,
C. Frank-Rotsch, F.M. Kiessling, P. Rudolph
Solid State Phenomena 156-158, 483 (2010)
Germanium is an attractive model system for studying
the crystallization mechanism and optimization of
Annual
Repo r t
201 0
95
E R S C H I E N E N E
P ublikationen
the growth processes in photovoltaics. In comparison
to Si it has a lower melting point and that is why its
usage is cost effective. The main aim of our work was
to verify the similarities in the growth related defect
formation between Ge and Si. We apply standard Si
characterization methods to poly and VGF-grown ntype Ge. Room temperature and 80 K EBIC measurements were done to reveal the defect structure. Photoluminescence spectra were used to characterize the
optical properties as for instance the Ge band-to-band
or defect originated transitions. Additionally, photoluminescence and cathodoluminescence maps were
preformed to reveal the defect distribution/activity,
too, by using the direct Ge band-to-band transition.
(19) Correlation between the Nanoscale
Electrical and Morphological Properties of
Crystallized HfO2-based MOS Structures
V. Iglesias, M. Porti, N. Nafria, X. Aymerich,
P. Dudek, G. Bersuker
Applied Physics Letters 97, 262906 (2010)
The relationship between electrical and structural
characteristics of polycrystalline HfO2 films has been
investigated by conductive atomic force microscopy under ultrahigh vacuum conditions. The results
demonstrate that highly conductive and breakdown
(BD) sites are concentrated mainly at the grain boundaries (GBs). Higher conductivity at the GBs is found
to be related to their intrinsic electrical properties,
while the positions of the electrical stress-induced
BD sites correlate to the local thinning of the dielectric. The results indicate that variations in the local
characteristics of the high-k film caused by its crystallization may have a strong impact on the electrical
characteristics of high-k dielectric stacks.
(20) Extrinsic Effects of Indirect Radiative
Transition of Ge
S.R. Jan, C.-H. Lee, T.-H. Cheng, Y.Y. Chen,
K.-L. Peng, S.-T. Chan, C.W. Liu, Y. Yamamoto,
B. Tillack
ECS Transactions 33, 555 (2010)
The effects of surface roughness and defects on Ge
indirect radiative transition was observed. The oxide
96
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
roughness scattering can conserve the momentum
during electron-hole recombination and enhance the
indirect radiative transition. The indirect transition is
affected more sensitively by the non-radiative transition resulting from defects in Ge. The passivation of
GeO2 on Ge also decreases the surface defect density
and leads to intensity enhancement of photoluminescence.
(21) Heavy Atomic-Layer Doping of Nitrogen in
Si1-xGex Epitaxial Growth on Si (100) by
Ultraclean Low-Pressure CVD
T. Kawashima, M. Sakuraba, B. Tillack,
J. Murota
Thin Solid Films 518, S62 (2010)
N atomic-layer doping in a nanometer-order
Si / Si1-xGex / Si(100) heterostructure using ultraclean low-pressure chemical vapor deposition and its
thermal stability at 650 °C were investigated. In the
Si0.5Ge0.5 epitaxial layer, it is found that a N doping
dose of 6 × 1014 cm-2 can be confined within an about
1.5 nm-thick region even after 650 °C heat treatment
in contrast to the result for Si cap layer growth on
the thermally nitrided Si(100) with a N doping dose
of 6 × 1014 cm-2 which was found to be amorphous.
Moreover, it is suggested that the confined N atoms
in Si1-xGex preferentially form Si-N bonds and that formation of Si3N4 is enhanced by the heat treatment at
650 °C.
(22) Realisation of a Single-Chip, Silicon
Germanium:C-based Power Amplifier for
Multi-Band Worldwide Interoperability for
Microwave Access Applications
M. Kaynak, I. Tekin, Y. Gurbuz
IET Microwaves, Antennas & Propagation 4,
2273 (2010)
A fully integrated multi-band power amplifier (PA)
using a 0.25 µm silicon germanium (SiGe):C process
with an output power of above 25 dBm is presented.
The behaviour of the amplifier has been optimised
for multi-band operation covering, 2.4, 3.6 and 5.4
GHz (ultra wide band-worldwide interoperability for
microwave access) frequency bands for higher 1-dB
E R S C H I E N E N E
P ublikationen
compression point and efficiency. Multi-band operation is achieved using a multi-stage topology where
parasitic components of active devices are also used
as components for matching networks, in turn decreasing the value and number of matching components.
Measurement results of the PA provided the following
performance parameters: 20.5 dBm 1-dB compression
point, 23 dB gain and 7% efficiency at the 2.4 GHz
band; 25.5 dBm 1-dB compression point, 31.5 dB gain
and 17.5% efficiency at the 3.6 GHz band; 22.4 dBm
1-dB compression point, 24.4 dB gain and 9.5% efficiency at the 5.4 GHz band. Measurement results show
that usage of both multi-stage topology and parasitic components as part of the matching network have
provided a wider band operation with higher output
power levels, above 25 dBm, with SiGe:C process.
(23) General Time-Domain Representation of
Chromatic Dispersion in Single-Mode Fibers
M. Khafaji, H. Gustat, F. Ellinger, J.C. Scheytt
IEEE Photonics Technology Letters 22(5), 314
(2010)
In this letter, an analytical method in time domain for
calculation of the effect of chromatic dispersion (CD)
in a single-mode fiber is presented. By using Fourier
series representation of a general pulse approach,
the CD effect could be obtained for arbitrary pulse
shapes. As one improvement beyond the commonly
used models, this work provides an analytical way to
calculate the required number of taps for a finite impulse response filter equalizer without the need for
empirical values. As an example, the spreading of a
pulse carved by a Mach-Zehnder interferometer in an
optical communication system is calculated. The novel analytical expression is in good agreement with
other published results.
(24) Getter Effects in Low Oxygen and High
Oxygen Czochralski Silicon Wafers
G. Kissinger, D. Kot, W. Häckl
ECS Transactions 33(11), 113 (2010)
Gettering of Cu and Ni in wafers with low and high
concentrations of interstitial oxygen was investigated by haze tests. The RTA induced getter effects for
–
P ublishe d
PA P E R S
Cu and Ni in low-oxygen and high-oxygen wafers are
based on two different getter mechanisms, internal
gettering by oxide precipitates and internal gettering
by nanometer sized voids (noids), respectively. Both
types of internal gettering contain a defect denuded
zone below the surface. While gettering by noids is
active immediately after RTA, efficient gettering by
oxide precipitates requires a certain annealing time
in order to achieve a high enough density and size
of precipitates. It was found that the getter effect
of noids is destroyed by annealing at temperatures
≥800 °C.
(25) Modeling the Early Stages of Oxygen
Agglomeration
G. Kissinger, J. Dabrowski, D. Kot,
V.D. Akhmetov, A. Sattler, W. von Ammon
ECS Transactions 27(1), 1021 (2010)
The results of ab initio calculations and rate equation
modeling of the early stages of oxide precipitation
are compared with the results of highly sensitive FTIR
spectrometry of oxygen and vacancy oxygen containing complexes in silicon after RTA treatment. The
ab initio calculations have shown that the binding
energy of interstitial oxygen in VOn is higher than in
On for n ≤ 6. For higher n, the energy gain is comparable. The point defect species O1, O2, O3, and VO4
were detected by highly sensitive FTIR in high oxygen Czochralski silicon wafers after RTA at 1250°C.
The concentrations obtained from the ab initio modeling approach for I, V, On with n = (1-4) and VOn with
n = (1-8) without fitting parameters are in good agreement with the experimental data for O1, O2, O3, and
VO4 as determined by highly sensitive FTIR.
(26) Rate Equation Modeling, Ab Initio
Calculation, and High Sensitive FTIR
Investigations of the Early Stages of Oxide
Precipitation in Vacancy-rich CZ Silicon
G. Kissinger, J. Dabrowski, V.D. Akhmetov,
A. Sattler, D. Kot, W. von Ammon
Solid State Phenomena 156-158, 211 (2010)
The results of highly sensitive FTIR investigation, ab
initio calculations and rate equation modeling of the
Annual
Repo r t
201 0
97
E R S C H I E N E N E
P ublikationen
early stages of oxide precipitation are compared. The
attachment of interstitial oxygen to VOn is energetically more favorable than the attachment to On for
n ≤ 6. For higher n the energy gain is comparable. The
point defect species which were detected by highly
sensitive FTIR in high oxygen Czochralski silicon wafers are O1, O2, O3, and VO4. Rate equation modeling
for I, V, On and VOn with n = (1..4) also yields O1, O2, O3
to appear with decreasing concentration and VO4 as
that one of the VOn species which would appear in the
highest concentration after RTA.
(27) Simulation of Trap Assisted Leakage
Through Thin Dielectric Films
G. Kozlowski, J. Dabrowski
IOP Conference Series: Materials Science and
Engineering 8, 012029 (2010)
A simple quantum mechanical model was used to investigate the influence of charged defects on trap
assisted tunnelling (TAT) current through dielectric
films. TAT current behaves in two distinct ways for
defects close and far from the interface. Leakage
through traps with normal and homogenous distribution of energy states is compared to currents through
ideal material with thickness inhomogeneity.
(28) Reducing EMI using GALS Approach
M. Krstic, T. Krol, E. Grass
Journal of Low Power Electronics 6(1), 181
(2010)
In this paper, the possibilities of reducing EMI in
GALS systems are investigated and presented. Based
on the special software tool for EMI analysis, several
different abstract models of GALS circuits have been
designed in order to extract a realistic EMI properties
of a GALS system. Based on the clock behavior, we
have been able to analyze using our tool the current
profile of each modeled system, both in frequency and
in time domain. The results have been compared with
the synchronous counterparts including low-EMI solutions. As a result, a reduction up to 25 dB can be
achieved when applying a low-EMI GALS methodology in comparison to the synchronous designs. Finally,
we have analyzed EMI reduction depending on the
98
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
granularity of GALS approach. We have analyzed the
limits of EMI reduction with high granularity GALS
systems and extrapolated the results for asynchronous systems.
(29) Alternative High-k Dielectrics for
Metal-Insulator-Metal Applications
M. Lukosius, C. Baristiran Kaynak, Ch. Wenger
ECS Transactions 33(3), 15 (2010)
Atomic Vapor Deposition (AVD) technique was successfully applied for the depositions of amorphous
HfO2, Sr-Ta-O and Ti-Ta-O thin films, which were investigated as alternative dielectrics to replace the
standard SiO2 or Si3N4 dielectrics used in Metal-Insulator-Insulator (MIM) capacitors. Metal oxides were
grown on 200 mm TiN / Si (100) substrates within the
thermal budget of back-end-of-line (BEOL) process.
Electrical properties, investigated after sputtering Au
top electrodes, revealed that the main characteristics
are different for each dielectric. On one hand, Ti-Ta-O
based MIM capacitors possessed a dielectric constant
of 50, which is more than a factor of 2 higher compared to the ones of Sr-Ta-O (20) and HfO2 (18). On
the other hand, Sr-Ta-O based MIM capacitors showed
the lowest leakage current densities as well as the
smallest capacitance-voltage linearity coefficients.
(30) High Performance Metal-Insulator-Metal
Capacitors with Atomic Vapour Deposited
HfO2 Dielectrics
M. Lukosius, Ch. Walczyk, M. Fraschke,
D. Wolansky, H.H. Richter, Ch. Wenger
Thin Solid Films 518, 4380 (2010)
Thin HfO2 films were grown as high-k dielectrics for
Metal–Insulator–Metal applications by Atomic Vapor
Deposition on 8 inch TiN / Si substrates using pure
tetrakis(ethylmethylamido)hafnium precursor. Influence of deposition temperature (320–400 °C) and
process pressure (2–10 mbar) on the structural and
electrical properties of HfO2 was investigated. X-ray
diffraction analysis showed that HfO2 layers, grown
at 320 °C were amorphous, while at 400 °C the films
crystallized in cubic phase. Electrical properties, such
as capacitance density, capacitance–voltage lineari-
E R S C H I E N E N E
P ublikationen
ty, dielectric constant, leakage current density and
breakdown voltage are also affected by the deposition temperature. Finally, TiN / HfO2 / TiN stacks, integrated in the Back-End-of-Line process, possess 3
times higher capacitance density compared to standard TiN / Si3N4 / TiN capacitors. Good step coverage
(N90%) is achieved on structured wafers with aspect
ratio of 2 when HfO2 layers are deposited at 320 °C
and 4mbar.
(31) Characterization of Thin Film Photovoltaic
Material Using Photoluminescence and
Raman Spectroscopy
T. Mchedlidze, T. Arguirov,
S. Kouteva-Arguirova, M. Kittler
Solid State Phenomena 156-158, 419 (2010)
Electrical and structural properties of thin-film photovoltaic (PV) material fabricated using Crystal Silicon
on Glass (CSG) technology was investigated applying
photoluminescence (PL) and Raman spectroscopy
(RS). The obtained results and their correlation with
the PV properties of the cells prepared from the same
material showed that PL is applicable for in-line characterization of the material before the electrical
contact fabrication processes. The results obtained
using RS gave useful information on crystallization
grade of the material during the fabrication process.
(32) Determination of the Origin of Dislocation
Related Luminescence from Silicon Using
Regular Dislocation Networks
T. Mchedlidze, O. Kononchuk, T. Arguirov,
M. Trushin, M. Reiche, M. Kittler
Solid State Phenomena 156-158, 567 (2010)
The investigation of regular dislocation networks
(DN) formed by direct wafer bonding suggests that
the D1 and D2 peaks of dislocation-related luminescence (DRL) in silicon is linked to screw dislocations,
whereas edge dislocations are responsible for D3 and
D4 DRL peaks. Non-radiative recombination activity
in DN could be attributed to edge dislocations and
could be related to enhanced ability of these dislocations to getter impurity atoms. Obtained relation of
DRL intensity with the density of screw dislocations
–
P ublishe d
PA P E R S
suggests existence of the optimum twist angle for
the wafer-bonding geometry for which the DRL intensity has a maximum. The dependence of DRL intensity on the spacing between screw dislocations has
the maximum at about 7 nm. Reported radiative and
non-radiative recombination properties of DN present
substantial interest not only for possible LED applications in all-Si photonics but also for photovoltaics,
since DNs represent a model system for grain boundaries controlling carrier lifetime in microcrystalline-Si
material.
(33) Light-Induced Solid-Phase Crystallization
of Si Nanolayers in Si / SiO2 Multiple
Quantum Wells
T. Mchedlidze, T. Arguirov,
S. Kouteva-Arguirova, M. Kittler
Journal of Applied Physics 107, 124302
(2010)
The process of light-induced crystallization (LIC) of
nanometer-thick amorphous silicon (a-Si) layers in
Si / SiO2 multiquantum wells (MQW) was investigated
using Raman spectroscopy. In the present investigations, a laser was employed as the light source. An
analysis of obtained and previously published results
suggests strong influence of radiation wavelength
on the outcome of the process. Namely, for certain
ranges of wavelengths and radiation fluxes the crystallization proceeds through the light-induced solid
phase crystallization (LISPC) process. An optimal set
of radiation wavelength and flux values allows formation of fully crystallized and almost strain-free layers
of nanocrystalline silicon (Si-nc). The difference in
the absorption coefficients between a-Si and Si-nc
was considered responsible for the obtained results.
A mechanism explaining the wavelength and the radiation flux dependence was proposed. Understanding
of the mechanism of LISPC in MQW structures would
allow improving the LIC processes for thin silicon
films.
Annual
Repo r t
201 0
99
E R S C H I E N E N E
P ublikationen
(34) Model for the Resistive Switching Effect in
HfO2 MIM Structures Based on the
Transmission Properties of Narrow
E. Miranda, Ch. Walczyk, Ch. Wenger,
T. Schroeder
IEEE Electron Device Letters 31(6), 609 (2010)
A physics-based analytical model for the current–voltage (I–V) characteristics corresponding to the low
and high resistive states in electroformed metal–insulator–metal structures with HfO2 layers is proposed.
The model relies on the Landauer theory for the electron transport in mesoscopic systems. The switching
phenomenon is ascribed to the modulation of the
constriction’s bottleneck cross-sectional area associated with atomic rearrangements within the confinement path. The extracted parameter values allow one
to conclude that the length and radius of the region
that controls the conduction characteristics are in
the nanometer range.
(35) Heavy B Atomic-Layer Doping in Si
Epitaxial Growth on Si(100) Using
Electron-Cyclotron-Resonance Plasma CVD
T. Nosaka, M. Sakuraba, B. Tillack, J. Murota
Thin Solid Films 518, S140 (2010)
Heavy B atomic-layer doping in Si epitaxial growth
on Si(100) by electron-cyclotron-resonance (ECR) Ar
plasma enhanced chemical vapor deposition (CVD) has
been investigated. By B atomic-layer formation and
subsequent Si epitaxial growth on Si(100) without
substrate heating, atomic-layer doping is achieved.
Most of the incorporated B atom amount of about
7 × 1014 cm-2 in the B atomic-layer doped Si film is confined within about 2 nm-thick region. For Si cap layer
deposition under lower energy plasma condition, the
incorporated B atom amount is scarcely changed. On
the other hand, in higher energy plasma irradiation
condition, it is found that B atoms on Si(100) desorb
due to Ar+ ion irradiation. These results demonstrate
that lower energy plasma conditions are effective to
perform heavy B atomic-layer doping.
100
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
(36) Self-Adapting Event Configuration in
Ubiquitous Wireless Sensor Networks
St. Ortmann, M. Maaser, P. Langendörfer
International Journal of Adaptive, Resilient
and Autonomic Systems 1(2), 46 (2010)
Wireless Sensor Networks are the key-enabler for low
cost ubiquitous applications in the area of homeland
security, health-care, and environmental monitoring.
A necessary prerequisite is reliable and efficient event
detection in spite of sudden failures and environmental changes. Due to the fact that the sensors need to
be low cost, they have only scarce resources leading
to a certain level of failures of sensor nodes or sensing devices attached to the nodes. Available fault
tolerant solutions are mainly customized approaches
that revealed several shortcomings, particularly in
adaptability and energy efficiency. The authors present a complete event detection concept including
all necessary steps from formal event definition to
autonomous device configuration. It features an
event definition language that allows defining complex events as well as enhance the reliability by tailor-made voting schemes and application constraints.
Based on that, this paper introduces a novel approach
for self-adapting on-node and in-network processing,
called Event Decision Tree (EDT). EDT autonomously adapts to available resources and environmental
conditions, even though it requires to (re-)organize
collaboration between neighboring nodes for evaluation. The authors’ approach achieves fine-grained
event-related fault tolerance with configurable adaptation rate while enhancing maintainability and
energy efficiency.
(37) An Integrated 0.6-4.6 GHz, 10-14 GHz, and
20-28 GHz Frequency Synthesizer for
Software-Defined Radio Applications
S.A. Osmany, F. Herzel, J.C. Scheytt
IEEE Journal of Solid State Circuits 45(9),
1657 (2010)
We present an integrated frequency synthesizer which
is able to provide in-phase / quadrature phase signal
over the frequency bands 0.6-4.6 GHz, 5-7 GHz, 10-14
GHz, and in-phase signal over 20-28 GHz for software-
E R S C H I E N E N E
P ublikationen
defined radio applications. An integrated voltagecontrolled oscillator (VCO) with 34% tuning range
and a set of high-speed dividers are used to accomplish all the frequencies. To achieve a wide tuning
range while keeping a low gain and a low phase noise, the VCO employs digitally controlled sub-bands.
The measured PLL phase noise is - 108 dBc / Hz, -121
dBc / Hz, and -135 dBc / Hz at 1 MHz offset for 24 GHz,
4 GHz, and 700 MHz, respectively. Fabricated in a 0.25
im SiGe BiCMOS process, the synthesizer occupies a
chip area of 4.8 mm2. The synthesizer was optimized
for reconfigurable base station applications, but can
also be used for cognitive radio, radar systems, satellite
communication, and high-speed digital clock generation.
(38) Delineation of Microdefects in Silicon
Substrates by Chromium-free Preferential
Etching Solutions and Laser Scattering
Tomography (LST): A Comparison of Etching
Recipes and LST
M. Pellowska, D. Possner, D. Kot, G. Kissinger,
A. Huber, B.O. Kolbesen
Solid State Phenomena 156-158, 443 (2010)
Chromium-free preferential etching techniques in
combination with light optical microscopy were compared with the non-destructive Laser Scattering Tomography (LST) for the evaluation of crystal defect
densities in Czochralski substrates grown under different conditions. Dichromate containing etching solutions (original Secco etch and dilute Secco etch) were
included into the study as reference. The chromiumfree etching solutions with high etch rates comprised
mixtures of nitric, hydrofluoric and acetic acid with
water (JEITA 1, MEMC). Those with low etch rates consisted of mixtures of nitric and acetic or propanoic
acid with hydrogen peroxide which form peracetic or
perpropanoic acid (Organic Peracid Etches). OPE solutions provide improved discrimination of different
types of defects and work also on highly doped substrates. As a general result, it turned out that the defect densities determined by the preferential etching
solutions applied were significantly higher than those evaluated by LST. Relatively close to the LST defect
densities are those determined by original Secco etch
for larger etch pits.
–
P ublishe d
PA P E R S
(39) Adaptable Security in Wireless Sensor
Networks by Using Reconfigurable ECC
Hardware Coprocessors
J. Portilla, A.O. Marnotes, E.de la Torre,
T. Riesgo, O. Stecklina, St. Peter, P. Langendörfer
International Journal of Distributed Sensor
Networks (2010)
Specific features of Wireless Sensor Networks (WSNs)
like the open accessibility to nodes, or the easy observability of radio communications, lead to severe
security challenges. The application of traditional
security schemes on sensor nodes is limited due to
the restricted computation capability, low-power
availability, and the inherent low data rate. In order
to avoid dependencies on a compromised level of security, a WSN node with a microcontroller and a Field
Programmable Gate Array (FPGA) is used along this
work to implement a state-of-the art solution based
on ECC (Elliptic Curve Cryptography). In this paper it
is described how the reconfiguration possibilities of
the system can be used to adapt ECC parameters in order to increase or reduce the security level depending
on the application scenario or the energy budget. Two
setups have been created to compare the softwareand hardware-supported approaches. According to the
results, the FPGA-based ECC implementation requires
three orders of magnitude less energy, compared with
a low power microcontroller implementation, even
considering the power consumption overhead introduced by the hardware reconfiguration.
(40) Lightweight Cryptography and RFID:
Tackling the Hidden Overheads
A. Poschmann, M. Robshaw, F. Vater, C. Paar
KSII Transactions on Internet and Information Systems 4(2), 98 (2010)
The field of lightweight cryptography has developed
significantly over recent years and many impressive
implementation results have been published. However these results are often concerned with a core
computation and when it comes to a real implementation there can be significant hidden overheads.
In this paper we consider the case of cryptoGPS and
we outline a full implementation that has been fa-
Annual
Repo r t
201 0
101
E R S C H I E N E N E
P ublikationen
P ublishe d
PA P E R S
bricated in ASIC. Interestingly, the implementation
requirements still remain within the typically-cited
limits for on-the-tag cryptography.
analyzed using different types of light-emitting diodes (LED). It was shown that the emission depends
on the structure of the dislocation network.
(41) Dislocation-Based Si-Nanodevices
M. Reiche, M. Kittler D. Buca, A. Hähnel,
Qing-Tai Zhao, S. Mantl, U. Gösele
Japanese Journal of Applied Physics Pt. 1 49,
04DJ02 (2010)
(43) Hot Wire Chemical Vapor Deposition of
Ge2Sb2Te5 Thin Films
D. Reso, M. Silinskas, B. Kalkofen, M. Lisker,
E.P. Burte
ECS Transactions 28(15), 35 (2010)
The realization of defined dislocation networks by
hydrophobic wafer bonding allows the electrical characterization of individual dislocations. The present
paper investigates the properties of such dislocations
in samples containing high dislocations densities
down to only six dislocations. The current induced by
a single dislocation is determined by extrapolation
of the current measured for various dislocation densities. Based on our present and previously reported
analyses the electronic properties of individual dislocations can be inferred. The investigations show that
dislocations in the channel of metal–oxide–semiconductor field-effect transistors (MOSFETs) result in
increasing drain currents even at low drain and gate
voltages. Because a maximum increase of the current
is obtained if a single dislocation is present in the
channel, arrays of MOSFETs each containing only one
dislocation could be realized on the nanometer scale.
The distance of the dislocations can be well controlled by wafer bonding techniques.
Germanium-antimony-telluride or, particularly, Ge2Sb2Te5
(GST) thin films were deposited by hot-wire (HW) chemical vapor deposition (CVD). Tetraallylgermanium
(TAGe), triisopropylantimony (TIPSb), and diisopropyltelluride (DIPTe) were used as precursors for germanium, antimony, and tellurium, respectively. The influence of deposition parameters such as a temperature,
pressure, and hydrogen content was investigated. It
was found that higher temperature, higher pressure,
and lower hydrogen flow yielded higher growth rates
of the films. An admixture of hydrogen reduced the
Te concentration in the GST thin films and enhanced
the content of Ge and Sb. The chemical composition
could also be shifted by other deposition parameters
but these dependences were not as well determined
as in the hydrogen case. Generally, higher germanium concentration was related to smaller amount of
tellurium. The films deposited at higher pressure
showed significantly higher roughness. Additionally,
the switching from low resistivity to high resistivity
state was tested.
(42) Properties of Interfacial Dislocations in
Hydrophobic Bonded Si-Wafers
M. Reiche, M. Kittler, A. Haehnel, T. Arguirov,
T. Mchedlidze
ECS Transactions 33(4), 441 (2010)
The realization of defined dislocation networks by
hydrophobic wafer bonding allows the characterization of electrical and optical properties of dislocations. The present paper investigates the electrical
properties in samples containing only a few (up to 6)
dislocations. By taking results of other analysis into
account the electronic properties of individual dislocations can be described. The dislocation-induced
luminescence between 1.3 µm and 1.5 µm was also
102
–
An n ual
R e p or t
2 01 0
(44) A 0.13 µm SiGe BiCMOS Technology
Featuring fT / fmax of 240/330 GHz and
Gate Delays below 3ps
H. Rücker, B. Heinemann, W. Winkler,
R. Barth, J. Borngräber, J. Drews, G.G. Fischer,
A. Fox, T. Grabolla, U. Haak, D. Knoll,
F. Korndörfer, A. Mai, S. Marschmeyer,
P. Schley, D. Schmidt, J. Schmidt, K. Schulz,
B. Tillack, D. Wolansky, Y. Yamamoto
IEEE Journal of Solid State Circuits 45(9),
1678 (2010)
A 0.13 µm SiGe BiCMOS technology for millimeterwave applications is presented. This technology fea-
E R S C H I E N E N E
P ublikationen
tures high-speed HBTs with peak transit frequencies
fT of 240 GHz, maximum oscillation frequencies fmax of
330 GHz, and breakdown voltages BVCEO of 1.7 V along
with high-voltage HBTs (fT = 50 GHz, fmax = 130 GHz,
BVCEO = 3.7 V) integrated in a dual gate oxide RF-CMOS
process. Ring oscillator gate delays of 2.9 ps, lownoise amplifiers for 122 GHz, and LC oscillators with
fundamental-mode oscillation frequencies above 200
GHz are demonstrated.
(45) A Subharmonic Receiver in SiGe Technology
for 122 GHz Sensor Applications
K. Schmalz, W. Winkler, J. Borngräber,
W. Debski, B. Heinemann, J.C. Scheytt
IEEE Journal of Solid State Circuits 45(9),
1644 (2010)
The iterative design of an integrated subharmonic
receiver for 120-127 GHz is presented. The receiver
consists of a single-ended low-noise amplifier (LNA),
a push-push voltage-controlled oscillator (VCO) with
1 / 32 divider, a polyphase filter, and a subharmonic
mixer. The receiver is fabricated in SiGe:C BiCMOS
technology with fT / fmax of 255 GHz / 315 GHz. In the
first design the differential down-conversion gain of
the receiver is 25 dB at 127 GHz, and the corresponding noise figure (NF) is 11 dB. The 3 dB bandwidth
reaches from 125 GHz to 129 GHz. The input 1 dB
compression point is at - 40 dBm. The receiver draws
139 mA from a supply voltage of 3.3 V. A subsequent
design demonstrates 31 dB differential gain at 122
GHz, and 11 dB NF. The 3 dB bandwidth is from 121
GHz to 124 GHz. The receiver has a NF of 8 dB for 3
GHz IF frequency due to integrated RF bandpass-filtering. It is realized by the lower NF of the LNA, and
the LNA itself.
(46) Integration of Strained and Relaxed Silicon
Thin Films on Silicon Wafers via Engineered
Oxide Heterostructures: Experiment and
Theory
O. Seifarth, B. Dietrich, P. Zaumseil,
A. Giussani, P. Storck, T. Schroeder
Journal of Applied Physics 108, 073526
(2010)
–
P ublishe d
PA P E R S
Strained and relaxed single crystalline Si on insulator
systems is an important materials science approach
for future Si-based nanoelectronics. Layer transfer
techniques are the dominating global integration approach over the whole wafer system but are difficult
to scale down for local integration purposes limited
to the area of the future device. In this respect, the
heteroepitaxy approach by two simple subsequent
epitaxial deposition steps of the oxide and the Si
thin film is a promising way. We introduce tailored
(Pr2O3)1-x(Y2O3)x oxide heterostructures on Si(111)
as flexible heteroepitaxy concept for the integration
of either strained or fully relaxed single crystalline Si
thin films. Two different buffer concepts are explored
by a combined experimental and theoretical study.
First, the growth of fully relaxed single crystalline Si
films is achieved by the growth of mixed PrYO3 insulators on Si(111) whose lattice constant is matched
to Si. Second, isomorphic oxide-on-oxide epitaxy is
exploited to grow strained Si films on lattice mismatched Y2O3 / Pr2O3/Si(111) support systems. A thickness dependent multilayer model, based on Matthew’s
approach for strain relaxation by misfit dislocations,
is presented to describe the experimental data.
(47) Single Crystalline Pr2-xYxO3 Dielectrics on
Si with Tailored Electronic and
Crystallographic Structure
O. Seifarth, M.A. Schubert, A. Giussani,
D.O. Klenov, D. Schmeißer, T. Schroeder
Journal of Applied Physics 108, 103709
(2010)
Crystalline oxides on Si with tailored electronic and
crystallographic properties are of importance for the
integration of functional oxides or alternative semiconductors to enable novel device concepts in Si
microelectronics. We present an electronic band gap
study of single crystalline Pr2-xYxO3 (0 ≤ x ≤ 2) heterostructures on Si(111). The perfect solubility of the
isomorphic bixbyites Pr2O3 and Y2O3 during molecular
beam epitaxy thin film growth on Si enables a linear band gap tuning. Special focus is devoted to the
determination of the electronic band offsets across
the dielectric / Si interface. In addition, the composition x allows to control the crystallographic lattice
Annual
Repo r t
201 0
103
E R S C H I E N E N E
P ublikationen
parameter where, for example, Pr0.8Y1.2O3 enables the
growth of fully lattice matched oxide heterostructures on Si.
(48) Improving the Dielectric Constant of Al2O3
by Cerium for High-k MIM Applications
R. Sohal, G. Lupina, P. Zaumseil, Ch. Walczyk,
T. Schroeder
Surface Science 604, 276 (2010)
Process compatible high-k dielectric thin films are
one of the key solutions to develop high performance
metal–insulator–metal (MIM) structures for future
microelectronic devices. Engineered cerium–aluminate (CexAl2–xO3) thin films were deposited on titanium nitride metal electrodes by electron-beam coevaporation of ceria and alumina in a molecular beam
deposition chamber. X-ray photoelectron spectroscopy clearly reveals that Ce cations can be stabilized
in the 3+ valence state in CexAl2–xO3 up to x = 0.7 by
accommodation in the alumina host matrix. Higher
Ce content was observed to result in cerium dioxide
segregation in cerium aluminate matrix, probably due
to the chemical tendency of Ce cations to exist rather
in the 4+ than in the 3+ state. Electrical characterization of the X-ray amorphous Ce0.7Al1.3O3 films reveals
a dielectric constant value of about 11 and leakage
current lower than 10-4 A / cm2. No parasitic low-k interface formation between the high-k Ce0.7Al1.3O3 film
and the TiN metal electrode is detected.
(49) The European BOOM Project: Silicon
Photonics for High Capacity Optical Packet
Routers
L. Stampoulidis, K. Vyrsokinos, K. Voigt,
L. Zimmermann, F. Gomez-Agis, H. Dorren,
Z. Sheng, D. Van Thourhout, L. Moerl,
J. Kreissl, B. Sedighi, A. Pagano, E. Riccardi
IEEE Journal of Selected Topics in Quantum
Electronics 16(5), 1422 (2010)
During the past years, monolithic integration in InP
has been the driving force for the realization of integrated photonic routing systems. The advent of
silicon as a basis for costeffective integration and
its potential blend with III–V material is now ope-
104
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
ning exciting opportunities for the development of
new, high-performance switching and routing equipment. Following thisrationale, BOOM - as a European research initiative - aims to develop compact,
cost-effective, and power-efficient silicon photonic
components to enable optical Tb / s routers for current and new generation broadband core networks.
This “siliconization” of photonic routers is expected
to enable ultrahigh bit rates as well as higher levels
of integration and power efficiency. The BOOM “device portfolio” includes all-optical wavelength converters, ultradense wave-division multiplexing (UDWDM) photodetectors, and high-speed transmitters;
all based on silicon waveguide substrates. Here, we
present the device concepts, the fabrication of photonic buildingblocks and the experiments carried out
as the initial steps toward the realization of the first
high-capacity silicon photonic router.
(50) Single Crystalline Sc2O3 / Y2O3
Heterostructure as a Novel Engineered
Buffer Approach for GaN Integration on
Si(111)
L. Tarnawska, A. Giussani, P. Zaumseil,
M.A. Schubert, R. Paszkiewicz, P. Storck,
T. Schroeder
Journal of Applied Physics 108, 063502
(2010)
The preparation of GaN virtual substrates on Si wafers
via buffer layers is intensively pursued for high power / high frequency electronics as well as optoelectronics applications. Here, GaN is integrated on the Si
platform by a novel engineered bilayer oxide buffer,
namely, Sc2O3 / Y2O3, which gradually reduces the lattice misfit of ~-17 % between GaN and Si. Single crystalline GaN(0001) / Sc2O3(111) / Y2O3(111) / Si(111)
heterostructures were prepared by molecular beam
epitaxy and characterized ex situ by various techniques. Laboratory-based x-ray diffraction shows
that the epitaxial Sc2O3 grows fully relaxed on the
Y2O3 / Si(111) support, creating a high quality template for subsequent GaN overgrowth. The high structural quality of the Sc2O3 film is demonstrated by
the fact that the concentration of extended planar
defects in the preferred 111 slip planes is below the
E R S C H I E N E N E
P ublikationen
detection limit of synchrotron based diffuse x-ray
scattering studies. Transmission electron microscopy
(TEM) analysis reveal that the full relaxation of the
-7 % lattice misfit between the isomorphic oxides is
achieved by a network of misfit dislocations at the
Sc2O3 / Y2O3 interface. X-ray reflectivity and TEM prove
that closed epitaxial GaN layers as thin as 30 nm can
be grown on these templates. Finally, the GaN thin
film quality is studied using a detailed Williamson–
Hall analysis.
(51) Atomic Control of Doping During Si Based
Epitaxial Layer Growth Processes
B. Tillack, Y. Yamamoto, J. Murota
ECS Transactions 33(6), 603 (2010)
Atomic-level processing based on surface reaction
control is used for B and P doping during Si, SiGe or
Ge epitaxy. The concept of atomic layer processing is
base on the separation of adsorption of the dopant
gases from the layer growth. By this way the doping
process is controlled by surface adsorption / desorption of dopant gas mainly. For B atomic layer doping of
SiGe and pure Ge using B2H6, high doping levels and
steep doping profiles have been reached. The process
was found to be self-limited at ~100 °C indicating
preferred adsorption of B2H6 on Si and Ge sites and
suppression of B cluster formation. For P atomic layer
doping on Si and SiGe self-limitation of the process
has been observed for temperatures between 200-600
°C allowing very precise dopant dose and location
control.
(52) Electronic States of Oxygen-free
Dislocation Networks Produced by Direct
Bonding of Silicon Wafers
M. Trushin, O. Vyvenko, T. Mchedlidze,
O. Kononchuk, M. Kittler
Solid State Phenomena 156-158, 283 (2010)
–
P ublishe d
PA P E R S
the DLTS peaks were proposed and a correlation with
the dislocation-related photoluminescence data was
established based on known dislocation structure of
the samples. Two types of shallow DLTS peaks exhibited Pool-Frenkel effect, which could be linked to the
dislocation deformation potential. One of the shallow DLTS peaks was related to straight parts of screw
dislocations and another - to the intersections of the
dislocations.
(53) XBIC / µ-XRF / µ-XAS Analysis of Metals
Precipitation in Block-Cast Solar Silicon
M. Trushin, W. Seifert, O. Vyvenko, J. Bauer,
G. Martinez-Criado, M. Salome, M. Kittler
Nuclear Instruments and Methods in Physics
Research B 268(3-4), 254 (2010)
The results of the investigations of the interaction
between the different impurities in intentionally
contaminated block-cast multi-crystalline silicon
by means of synchrotron-based microprobe techniques XBIC (X-ray beam induced current), µ-XRF
(X-ray fluorescence microscopy) and µ-XAS (X-ray
absorption microspectroscopy) recently implemented at beamlines ID-21 and ID-22 of ESRF, Grenoble,
are presented. It was found that Si3N4 / SiC particles
frequently observed in the upper part of multi-crystalline Si blocks represent effective sinks for Fe and
Cu impurities. The amount of precipitated iron was
the same order magnitude both at nitride and carbide
particles. The amount of Cu precipitated at the SiC
inclusions was significantly larger than that at Si3N4
rods. Chemical state of the copper precipitates was
identified as copper-rich silicide Cu3Si. The anneal at
950 °C that is known to enhance oxygen precipitation
in silicon was found to accompany with the enhanced
formation of nanoscale iron disilicide precipitates
both inside the grains and at grain boundaries.
The results of experimental investigations of the
dislocation-related DLTS-peaks originated from the
dislocation networks (DN) are presented. Samples
with DNs were produced by direct bonding of p-type
silicon wafers and no enhancement of oxygen concentration was detected near the DN plane. Origins of
Annual
Repo r t
201 0
105
E R S C H I E N E N E
P ublikationen
(54) Scanning X-Ray Excited Optical
Luminescence Microscopy of
Multicrystalline Silicon
O. Vyvenko, T. Arguirov, W. Seifert, I. Zizak,
M. Trushin, M. Kittler
Physica Status Solidi A 207, 1940 (2010)
In X-ray beam induced current (XBIC) in combination
with X-ray fluorescence (XRF) and X-ray absorption
(XAS) microscopy techniques is now widely used as a
powerful tool for the investigation of transition metal (TM) behavior in Si. The aim of this work was to
replace XBIC with a new recombination-sensitive, but
contactless technique, that is, scanning X-ray beam
excited optical luminescence microscopy (µ-SXEOL).
We report for the first time the successful realization
of µ-SXEOL to register the spatial distribution of
band-band luminescence in silicon in a reasonable
time of 1 s / pixel with X-ray flux of 1011 / s. Results of
simultaneous µ-SXEOL / XBIC / µ-XRF measurements
on multi-crystalline silicon are presented. A correlation between XBIC and µ-SXEOL maps of the same sample as well as significant differences are found. The
magnitude of µ-SXEOL signal is shown to anti-correlate with the averaged amount of copper detected by
µ-XRF.
(55) Functionalized Back-End Devices for
(Bi)CMOS Circuits
Ch. Wenger, Ch. Walczyk, M. Lukosius,
D. Wolansky, P.V. Santos
ECS Transactions 33(6), 823 (2010)
The integration of various functionality to (Bi)CMOS
circuits is in the focus of the “More than Moore” approach. Here, we demonstrate the incorporation of
surface acoustic wave (SAW) devices as well as of
nonvolatile memories (NVM) into the Back end of line
(BEOL) of Bi(CMOS) circuits. The added functionalities open new technological possibilities for high value microelectronics systems.
106
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
(56) B Atomic Layer Doping of Ge
Y. Yamamoto, K. Köpke, R. Kurps, J. Murota,
B. Tillack
Thin Solid Films 518, S44 (2010)
B Atomic layer doping (B-ALD) of Ge is investigated
at temperatures between 100 °C and 400 °C using a
single wafer reduced pressure CVD system. Hydrogenterminated and hydrogen-free Ge (100) surfaces are
exposed to B2H6 at different H2 partial pressures. B
atoms are adsorbed on hydrogen-free Ge surface by
B2H6 exposure even at 100 °C. Very steep B profiles
(b1 nm / dec.), which is the resolution limit of SIMS,
have been achieved. On the hydrogen-free Ge surface at 200–400 °C, B adsorption is increasing with
increasing B2H6 exposure time and no saturation behavior is observed. On the other hand, in the case of
100 °C, B adsorption is increasing with increasing
B2H6 exposure time and tends to saturate, indicating
that B2H6 is adsorbed at Ge surface site mainly. The
level of the saturation is increasing with increasing
B2H6 partial pressure. By decreasing the H2 partial
pressure, the level of the saturation is increasing and
the saturation becomes less pronounced. For the B2H6
exposure without H2, the saturation is not observed.
This result indicates that H2 has to be taken into consideration for the adsorption mechanism of B. These
results demonstrate the possibility of dopant dose
and location control at 100 °C.
(57) Phosphorus Atomic Layer Doping in
Si Using PH3
Y. Yamamoto, J. Murota, B. Tillack
ECS Transactions 33(6), 995 (2010)
Atomic layer doping of P (P-ALD) in Si is investigated using reduced pressure chemical vapor deposition
(RPCVD). For P-ALD, PH3 exposure on Si (100) surface
followed by Si cap layer deposition using SiH4 or Si2H6
is performed. P adsorption is suppressed by hydrogentermination of the Si surface. On the hydrogen-free Si
surface, the P adsorption is increasing with increasing
PH3 exposure temperature saturating at temperatures
above 600 °C. P adsorption is also increasing with increasing PH3 exposure time. It tends to saturate at
long exposure time indicating a self-limitation of the
E R S C H I E N E N E
P ublikationen
–
P ublishe d
PA P E R S
process. By Si deposition using Si2H6, higher P peak
concentration and higher P doping level in the Si cap
layer is observed compared to those with SiH4 based
Si deposition. For both SiH4 and Si2H6 based capping
process lower P segregation is observed by lowering
the growth temperature.
(60) Characterization of Semiconductor Films
Epitaxially Grown on Thin Metal Oxide
Buffer Layers
P. Zaumseil, A. Giussani, O. Seifarth,
T. Arguirov, M. A. Schubert, T. Schroeder
Solid State Phenomena 156-158, 467 (2010)
(58) High-Speed Monolithic SiGe BiCMOS
Photoreceiver with an Integrated
Avalanche Photodetector
J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker,
W.-Y. Choi
IEICE Electronics Express 7(9), 659 (2010)
Silicon and germanium films epitaxially grown on metal oxide buffer layers on Si(111)substrates are characterized by different X-ray techniques, transmission
electron microscopy and Raman spectroscopy. Pr2O3
and Y2O3 or a combination of both is used as buffer
material. X-ray pole figure measurements and grazing
incident X-ray diffraction prove that epi-semiconductor layers can be grown single crystalline with exactly
the same in-plane orientation as the Si(111) substrate. Epi-Ge layers show a small fraction (less than
0.5 vol. %) of so-called type B rotation twin regions
located near the oxide-Ge interface. The main structural defects for both epi materials are micro twin lamellas lying in 111 planes 70° inclined to the wafer
surface that may reach through the whole layer from
the oxide interface to the surface. Furthermore, TEM
confirms the existence of stacking faults and threading dislocations. X-ray grazing incident diffraction
and Raman measurements show that epi-Ge layers on
Pr2O3 buffer are nearly fully relaxed, while epi-Si layers
on Y2O3 / Pr2O3 double buffer are compressive strained
depending on their own thickness and the thickness
of the underlying Y2O3 layer. It is demonstrated that
the epi-layer quality can be improved by post-deposition annealing procedures.
We demonstrate an 850-nm high speed photoreceiver
with a monolithically integrated silicon avalanche
photodetector for optical interconnect applications.
The photoreceiver is fabricated with standard 0.25
µm SiGe bipolar complementary metal-oxide-semiconductor technology without any process modification. The Photoreceiver achieves 7-Gb / s optical data
transmission with the bit-error rate less than 10-10 at
-1 dBm incident optical power.
(59) SiGe HBT CML Ring Oscillator with 2.3 ps
Gate Delay at Cryogenic Temperatures
J. Yuan, K.A. Moen, J.D. Cressler, H. Rücker,
B. Heinemann, W. Winkler
IEEE Transactions on Electron Devices 57(5),
1183 (2010)
We present a measured current-mode logic ring oscillator gate delay of 2.3 ps, a record for digital circuits
in silicon-based technologies. This result was achieved in a silicon– germanium (SiGe) heterojunction bipolar transistor (HBT) technology operating at 25 K.
In addition to higher cutoff frequency and lower collector-base capacitance, lower base resistance is also
responsible for the improved switching speed at cryogenic temperatures. The self-heating characteristics
of these SiGe HBT circuits are also investigated across
temperatures.
(61) Laboratory-based Characterization of
Heteroepitaxial Structures: Advanced
Experiments not needing Synchrotron
Radiation
P. Zaumseil
Powder Diffraction Journal 25(2), 92 (2010)
It is demonstrated that a complex X-ray characterization of semiconductor films epitaxially grown on
metal oxide buffer layers and Si(111) substrates is
possible using laboratory-based equipment. This is
demonstrated with epi-germanium on Pr2O3 as buffer
material. Pole figure measurements prove that epi-Ge
Annual
Repo r t
201 0
107
E R S C H I E N E N E
P ublikationen
P ublishe d
PA P E R S
layers are nearly single crystalline with exactly the
same in-plane orientation (type A) as the Si(111)
substrate, while the lattice of the oxide layer is 180°
rotated around the [111] surface normal (type B).
Only a small fraction (less than 0.6 vol %) of the epiGe exhibits type B rotation twins. The main structural
defects are microtwin lamellas lying in 111 planes
70.5° inclined to the wafer surface. The different inplane orientation of the Si substrate and epi-Ge on
one side and the Pr2O3 buffer layer on the other side
allows a very sensitive analysis of strain and defects
even for a 10-nm oxide layer buried under a 100-nm
Ge. The epi-Ge layers are nearly fully relaxed and the
Pr2O3 buffer layer is compressively strained. Due to
the existing defects the Ge (111) planes are tilted in
a characteristic pattern relative to the Si substrate.
(66) Speed / Power Performance of D-type
Flip-Flops in a 0.13 µm SiGe:C HBT
Technology Demonstrated by a 86 GHz
Static Frequency Divider
A. Awny, A. Thiede, J. Borngräber,
M. Elkhouly, J.C. Scheytt
Proc. 5th German Microwave Conference
(GeMiC 2010), 24 (2010)
(62) X-Ray Characterization of Epi-Ge
Pr2O3 / Si(111) Films
P. Zaumseil, A. Giussani, P. Storck,
T. Schroeder
Europhysics News 41(1), 12 (2010)
(68) Deep-UV KrF Lithography for the
Fabrication of Bragg Gratings on SOI Rib
Waveguids
J. Bauer, D. Stolarek, L. Zimmermann,
I. Giuntoni, U. Haak, H.H. Richter,
St. Marschmeyer, A. Gajda, J. Bruns,
K. Petermann, B. Tillack
Proc. of the 26th European Mask and Lithography Conference (EMLC 2010), Grenoble, SPIE,
7545, (2010)
(63) Chemical Vapor Deposition and
Characterization of High-k BaHf1-xTixO3
Dielectric Layers for Microelectronic
Applications
A. Abrutis, T. Dapkus, S. Stanionyte,
V. Kubilius, G. Lupina, Ch. Wenger, M. Lukosius
Proc. 16th Workshop on Dielectrics in Microelectronics (WODIM 2010), 87 (2010)
(64) Eine neue Fehlertoleranzmethode zur
Verringerung des Flächenaufwandes von
TMR-Systemen
M. Augustin, M. Gössel, R. Kraemer
Zuverlässigkeit und Entwurf, 4. GMM / GI / ITGFachtagung, (GMM-Fachbericht ; 66), 89
(2010)
(65) Reducing the Area Overhead of
TMR-Systems by Protecting Specific Signals
M. Augustin, M. Gössel, R. Kraemer
Proc. IEEE International On-Line Test Symposium 2010 (IOLTS 2010), (2010)
108
–
An n ual
R e p or t
2 01 0
(67) Design and Characterization of a V-Band
Quadrature VCO Based on a
Common-Collector SiGe Colpitts VCO
A. Barghouti, A. Krause, C. Carta, F. Ellinger,
J.C. Scheytt
Proc. IEEE Compound Semiconductor IC
Symposium (CSICS 2010), (2010)
(69) The Synchronization Challenge
D. Bertozzi, A. Strano, D. Ludovici, V. Pavlidis,
F. Angiolini, M. Krstic
Designing Network-on-Chip Architectures for
the Nanoscale Era / ed. by J. Flich, D. Bertozzi,
CRC Press, (2010)
(70) Modular Planar Waveguide Technologies for
Grating Fabrication in Silicon-on-Insulator
(SOI)
J. Bruns, L. Zimmermann, I. Giuntoni,
B. Wohlfeil, D. Stolarek, J. Bauer, B. Tillack,
K. Petermann
Proc. 6th Joint Symposium on Opto- & Microelectronic Devices and Circuits (SODC 2010),
(2010)
E R S C H I E N E N E
P ublikationen
–
P ublishe d
PA P E R S
(71) ILA: Idle Listening Avoidance in Scheduled
Wireless Sensor Networks
M. Brzozowski, H. Salomon, P. Langendörfer
Proc. 8th International Conference on
Wired / Wireless Internet Communications,
(WWIC 2010), Berlin, Springer, LNCS 6074,
363 (2010)
(77) High-speed Comparators for SAR ADCs in
130 nm BiCMOS
J. Digel, M. Crozing, M. Berroth, H. Gustat,
J.C. Scheytt
Proc. 2010 6th Conference on Ph.D. Research
in Microelectronics and Electronics (PRIME
2010), 4 (2010)
(72) Limiting End-to-End Delays in Long-Lasting
Sensor Networks
M. Brzozowski, H. Salomon, P. Langendörfer
Proc. 8th ACM International Symposium on
Mobility Management and Wireless Access
(MobiWac 2010), 11 (2010)
(78) Atomic Scale Engineering of Future High-k
DRAM Dielectrics: The Example of Partial
Hf Substitution by Ti in BaHfO3
P. Dudek, G. Lupina, P. Zaumseil,
D. Schmeißer, T. Schroeder
Proc. 16th Workshop on Dielectrics in Microelectronics (WODIM 2010), abstr. book, 72
(2010)
(73) On Efficient Clock Drift Prediction Means
and their Applicability to IEEE 802.15.4
M. Brzozowski, H. Salomon, P. Langendörfer
Proc. 8th IEEE / IFIP International Conference
on Embedded and Ubiquitous Computing
(EUC-10), 216(2010)
(74) Influence of Strain on P Atomic-Layer
Doping Characteristics in Strained
Si0.3Ge0.7 / Si(100) Heterostructures
Y. Chiba, M. Sakuraba, B. Tillack, J. Murota
Proc. of the International SiGe Technology
and Device Meeting 2010 (ISTDM 2010),
abstr. (2010)
(75) 60-GHz Adaptive Beamforming Receiver
Arrays for Interference Mitigation
Ch.-S. Choi, M. Elkhouly, E. Grass, J.C. Scheytt
Proc. IEEE International Symposium on Personal, Indoor and Mobile Radio Communications
(PIMRC 2010), 761 (2010)
(76) 60 GHz OFDM Systems for Multi-Gigabit
Wireless LAN Applications
Ch.-S. Choi, E. Grass, M. Piz, M. Ehrig,
R. Kraemer, J.C. Scheytt
Proc. IEEE Consumer Communications and
Networking Conference, (CCNC 2010), (2010)
(79) Millimeter-Wave Beamforming Circuits in
SiGe BiCMOS
M. Elkhouly, Ch.-S. Choi, S. Glisic, J.C. Scheytt,
F. Ellinger
Proc. 2010 Bipolar / BiCMOS Circuits and
Technology Meeting (BCTM 2010), 129 (2010)
(80) Baseband Processor for RF-MIMO WLAN
V. Elvira, J. Ibanez, I. Santamaria, M. Krstic,
K. Tittelbach-Helmrich, Z. Stamenkovic
Proc. 17th International Conference on
Electronics, Circuits and Systems, (ICECS
2010), 800 (2010)
(81) LEON2 Processor with High-Speed USB
Port: A System-On-Chip for Wireless
Applications
M. Eric, G. Panic, Z. Stamenkovic
Proc. 27th International Conference on
Microelectronics, 357 (2010)
(82) A GALS FFT Processor with Clock
Modulation for Low-EMI Applications
X. Fan, M. Krstic, E. Grass, Ch. Wolf
Proc. 21st IEEE International Conference on
Application-specific Systems, Architectures
and Processors, 273 (2010)
Annual
Repo r t
201 0
109
E R S C H I E N E N E
P ublikationen
P ublishe d
PA P E R S
(83) An Impulse Radio UWB Transceiver with
High-Precision TOA Measurement Unit
G. Fischer, O. Klymenko, D. Martynenko,
H. Luediger
Proc. 2010 International Conference on
Indoor Positioning and Indoor Navigation,
(2010)
(89) CMOS Compatible Medium Voltage LDMOS
Transistors for Wireless Application up to
5.8 GHz
D. Gruner, R. Sorge, O. Bengtsson, A.Z. Markos,
G. Boeck
Proc. European Microwave Integrated Circuits
Conference 2010, 146 (2010)
(84) A Low-Noise 8-12 GHz Fractional-N PLL in
SiGe BiCMOS Technology
R. Follmann, D. Köther, F. Herzel, F. Winkler,
H.-V. Heyer
Proc. 5th European Microwave Integrated
Circuits Conference, 98 (2010)
(90) A Switching-Mode Amplifier for Class-S
Transmitters for Clock Frequencies up to
7.5 GHz in 0.25 µm SiGe-BiCMOS
St. Heck, M. Schmidt, A. Bräckle, F. Schuller,
M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt
Proc. IEEE Radio Frequency Integrated
Circuits Symposium (RFIC 2010), 565 (2010)
(85) Advanced Architectures for High
Performance SiGe HBTs
A. Fox, B. Heinemann
Proc. 5th International SiGe Technology and
Device Meeting (ISTDM 2010), abstr. (2010)
(86) Integrated Drop-Filter for Dispersion
Compensation based on SOI Rib
Waveguides
I. Giuntoni, D. Stolarek, A. Gajda, G. Winzer, J.
Bruns, B. Tillack, K. Petermann,
L. Zimmermann
Proc. Optical Fiber Communication
Conference (OFC), (2010)
(87) A 1 W Si-LDMOS Power Amplifier with 40%
Drain Efficiency for 6 GHz WLAN
Applications
D. Gruner, R. Sorge, O. Bengtsson, A.Z. Markos,
G. Boeck
Proc. IEEE International Microwave
Symposium (IMS 2010), 517 (2010)
(88) 6 GHz Medium Voltage LDMOS Power
Amplifier Based on Load/Source Pull
Characterization
D. Gruner, R. Sorge, A.Z. Markos, O. Bengtsson,
G. Boeck
Proc. 5th German Microwave Conference
(GeMiC), 178 (2010)
110
–
An n ual
R e p or t
2 01 0
(91) A SiGe H-Bridge Switching Amplifier for
Class-S Amplifiers with Clock Frequencies
up to 6 GHz
St. Heck, A. Bräckle, M. Schmidt, F. Schuller,
M. Grözing and M. Berroth, H. Gustat,
J.C. Scheytt
Proc. 5th German Microwave Conference
(GeMiC 2010), 174 (2010)
(92) SiGe HBT Technology with fT / fmax of
300 GHz / 500 GHz and 2.0 ps CML Gate Delay
B. Heinemann, R. Barth, D. Bolze, J. Drews,
G.G. Fischer, A. Fox, O. Fursenko,
T. Grabolla, U. Haak, D. Knoll, R. Kurps,
M. Lisker, S. Marschmeyer, H. Rücker,
D. Schmidt, J. Schmidt, M.A. Schubert,
B. Tillack, C. Wipf, D. Wolansky, Y. Yamamoto
IEDM Technical Digest, 688 (2010)
(93) An Efficient Algorithm for Phase Error
Minimization in Phase-locked Loops
F. Herzel
Proc. 7th IEEE International Conference in
Signals and Electronic Systems (ICSES 2010),
153 (2010)
E R S C H I E N E N E
P ublikationen
(94) Local Oscillator Radiation Test Results of
the SiGe Technology SGB25 TM1TM2 of IHP
H.-V. Heyer, W. Moldenhauer, R. Follmann,
D. Köther, T. Podrebersek, F. Herzel,
J.C. Scheytt, M. Poizat, P. Piironen
Proc. 3rd International Workshop on Analogue and Mixed Signal Integrated Circuits for
Space Applications (AMICSA 2010), (2010)
(95) SiGe Single Chip Fractional-N Local
Oscillator for Telecommunication Satellite
Applications
H.-V. Heyer, R. Follmann, D. Köther, F. Herzel,
F. Winkler, H. Janson, R. Petersson,
B.-M. Folio, C. Miquel, P. Piironen
Proc. Microwave Technology and Techniques
Workshop, (2010)
(96) Oxygen Engineering of Hafnium Oxide Thin
Films Grown by Reactive Molecular Beam
Epitaxy (R-MBE)
E. Hildebrandt, J. Kurian, I. Costina,
T. Schroeder, L. Alff
Proc. 16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), abstr. book,
91 (2010)
(97) A Comparison of npn vs. pnp SiGe HBT
Oscillator Phase Noise Performance in a
Complementary SiGe Platform
St. J. Horst, P. Chakraborty, P. Saha,
J.D. Cressler, H. Gustat, B. Heinemann,
G.G. Fischer, D. Knoll, B. Tillack
Proc. 2010 Bipolar / BiCMOS Circuits and
Technology Meeting (BCTM 2010), 13 (2010)
(98) Fully Integrated 9 GHz CMOS VCO with Very
Low Phase Noise
K. Hu, F. Herzel, J.C. Scheytt
IEEE International Symposium on Circuits and
Systems (ISCAS 2010), 1899 (2010)
–
P ublishe d
PA P E R S
(99) Evaluation and Optimisation of Robustness
in the IEEE 802.15.4a Standard
J. Hund, S. Olonbayar, R. Kraemer,
Ch. Schwingenschlögel
Proc. IEEE International Conference on
Ultra-Wideband (ICUWB 2010), 2, 747 (2010)
(100) Dielectric BD in Polycrystalline HfO2 Gate
Dielectrics Investigated with CAFM
V. Iglesias, M. Porti, M. Nafria, X. Aymerich,
P. Dudek, G. Bersuker
Proc. 16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), 56 (2010)
(101) Creation of a Radiation Hard 0.13 Micron
CMOS Library at IHP
U. Jagdhold
Proc. DAta Systems In Aerospace (DASIA),
(2010)
(102) BiCMOS Embedded MEMS Technology
M. Kaynak
Proc. European Microwave Week, Notes,
(2010)
(103) Characterization of BiCMOS Embedded
RF-MEMS Modules
M. Kaynak, K.-E. Ehwald, J. Drews, R. Scholz, F.
Korndörfer, C. Wipf, D. Knoll, R. Barth,
K. Schulz, D. Wolansky, B. Tillack
Proc. Smart Systems Integration 2010, 100
(2010)
(104) Embedded MEMS Modules for BiCMOS
Process
M. Kaynak, K.-E. Ehwald, J. Drews, R. Scholz, F.
Korndörfer, C. Wipf, D. Knoll, R. Barth,
M. Birkholz, K. Schulz, D. Wolansky, B. Tillack
Proc. 5th German Microwave Conference
(GeMiC 2010), 78 (2010)
Annual
Repo r t
201 0
111
E R S C H I E N E N E
P ublikationen
(105) BiCMOS Embedded RF-MEMS Switch for
Above 90 GHz Applications Using Backside
Integration Techniques
M. Kaynak, M. Wietstruck, R. Scholz, J. Drews,
R. Barth, K.-E. Ehwald, A. Fox, U. Haak,
D. Knoll, F. Korndörfer, S. Marschmeyer,
K. Schulz, C. Wipf, D. Wolansky, B. Tillack,
K. Zoschke, T. Fischer, Y.S. Kim, J.S. Kim,
W.-G. Lee, J.W. Kim
IEDM Technical Digest, 832 (2010)
(106) Characterization of an Embedded RF-MEMS
Switch
M. Kaynak, K.-E. Ehwald, R. Scholz,
F. Korndörfer, C. Wipf, Y. Sun, B. Tillack,
S. Zihir, Y. Gurbuz
Proc. Silicon Monolithic Integrated Circuits in
RF Systems (SiRF 2010), 144 (2010)
P ublishe d
PA P E R S
(111) Simulation and Measurement of Back Side
Etched Inductors
F. Korndörfer, M. Kaynak, V. Mühlhaus
Proc. of the 5th European Microwave Circuits
Conference, 389 (2010)
(112) On the Dependence of the Thermal
Resistance on Collector Properties of
SiGe HBTs
F. Korndörfer, C. Wipf
Proc. IEEE Bipolar / BiCMOS Circuits and
Technology Meeting (BCTM 2010), 269 (2010)
(113) Challenges of Wireless Ultra-High Speed
Communications
R. Kraemer
Proc. 21st Annual Workshop on
Interconnections within High Speed Digital
Systems, Workshop Notes, (2010)
(107) A 6 Bit Linear Binary RF DAC in 0.25 µm
BiCMOS for Communication Systems
M. Khafaji, H. Gustat, J.C. Scheytt
Proc. International Microwave Symposium
(IMS 2010), 916 (2010)
(114) Drahtlose Kommunikation im Auto der
Zukunft
R. Kraemer
IV. Automobil-Zuliefertag 2010, (2010)
(108) Monotonic above 20 GSps Binary DAC in
0.25 µm SiGe BiCMOS
M. Khafaji, H. Gustat, J.C. Scheytt
Proc. 6. Joint Symposium on Opto- and
Microelectronic Devices and Circuits
(SODC 2010), 107(2010)
(115) Efficient Synchronization Method for
IR-UWB 802.15.4a Non-Coherent Energy
Detection Receiver
D. Kreiser, S. Olonbayar
Proc. Internet of Things Symposium
(IOTS 2010), 521 (2010)
(109) Initial Stages of Oxygen and Vacancy
Agglomeration: Kinetic and Getter Effects
G. Kissinger, D. Kot, J. Dabrowski, W. Häckl,
V.D. Akhmetov, A. Sattler
Proc. 6th Forum of the Science and Technology
of Silicon Materials, 36 (2010)
(116) Test einer Bluetooth-Funkstrecke für die
Prozessautomatisierung
J. Krimmling, St. Peter, D. Schmidt, M. Mahlig
Proc. SPS / IPC / Drives Kongress 2010, Ed.: K.
Bender, W. Schumacher, A. Verl, 167 (2010)
(110) Simulation and Measurement of Back Side
Etched Inductors
F. Korndörfer, M. Kaynak, V. Mühlhaus
Proc. of the 40th Microwave Conference, 1631
(2010)
112
–
An n ual
R e p or t
2 01 0
(117) A 52-75 GHz Frequency Quadrupler in
0.25 µm SiGe BiCMOS Process
N.-Ch. Kuo, Z.-M. Tsai, K. Schmalz,
J.C. Scheytt, H. Wang
Proc. European Microwave Week
(EuMW 2010), 365 (2010)
E R S C H I E N E N E
P ublikationen
(118) Directional Couplers from 30 to 140 GHz
in Silicon
B. Lämmle, K. Schmalz, J.C. Scheytt,
A. Koelpin, R. Weigel
Proc. Asia Pacific Microwave Conference
(APMC 2010), (2010)
(119) Future Trends of Integrated Millimeter
Wave Sixt-Port Receivers
B. Lämmle, K. Schmalz, J.C. Scheytt,
A. Koelpin, R. Weigel
Proc. 6th Joint Symposium on Opto- &
Micro-Electronic Devices and Circuits,
(SODC 2010), 103 (2010)
(120) Electrical Characteristics of TiTaO Based
MIM Capacitors
M. Lukosius, Ch. Wenger, G. Ruhl, S. Rushwoth
Proc. 16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), abstr. book,
70 (2010)
(121) Automated Mapping of MAC Parameters
into Generic QoS Parameters by Inter-MAC
Adaptors
M. Maaser, St. Nowak, P. Langendörfer
Proc. IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2010), 2815 (2010)
(122)Remote Medical Treatment at Home Using
the Java Mobile Sensor API
M. Maaser, St. Ortmann
Proc. IEEE Globecom 2010, 3rd International
Workshop on Smart Homes for Tele-Health
(SmartTel ‚10), (2010)
(123)Drain-Extended MOS Transistors Capable for
Operating at 10 V and Radio Frequencies
A. Mai, H. Rücker
Proc. European Solid-State Device Research
Conference (ESSDERC), 110 (2010)
–
P ublishe d
PA P E R S
(124)Performance Evaluation of Channel Coding
for Gbps 60-GHz OFDM-based Wireless
Communications
M. Marinkovic, M. Piz, Ch.-S. Choi, G. Panic,
M. Ehrig, E. Grass
Proc. IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2010), 993 (2010)
(125)How Key Establishment in Medical Sensor
Networks Benefits from Near Field
Communication Technology
O. Maye, St. Peter
Proc. of the 1st IEEE / ACM Internet of Things
Symposium (IOTS 2010), 566 (2010)
(126) Stand und Entwicklungstrends bei
Si-basierten Mikrosystemen im
GHz-Bereich
W. Mehr, Ch. Wenger
Proc. 15. Heiligenstädter Kolloquium,
67 (2010)
(127) Photonic Crystal Microacavities in SOI
Waveguides Produced in a CMOS
Environment
St. Meister, A. Al-Saadi, B. A. Franke, S. Mahdi,
K. Voigt, B. Kuhlow, B. Tillack, H.H. Richter,
L. Zimmermann, V. Ksianzou, S.K. Schrader,
H.-J. Eichler
SPIE Proceedings, 7606, 760616 (2010)
(128) MAC Protocol Requirements for WLANs
with Beamforming
E. Miletic, K. Tittelbach-Helmrich
Proc. 18th Telecommunications Forum,
(TELFOR 2010), 412 (2010)
(129) Atomically Controlled Processing in
Strained Si-Based CVD Epitaxial Growth
J. Murota, M. Sakuraba, B. Tillack
Proc. International Conference on Solid State
and Integrated-Circuit Technology (ICSICT
2010), I12_05 (2010)
Annual
Repo r t
201 0
113
E R S C H I E N E N E
P ublikationen
(130) In-Situ Heavy B-Doped Si Epitaxial Growth
on Tensile-Strained Si (100) by Ultraclean
Low-Pressure CVD using SiH4 and B2H6
M. Nagato, M. Sakuraba, J. Murota, B. Tillack,
Y. Inokuchi, Y. Kunii, H. Kurokawa
Proc. of the International SiGe Technology
and Device Meeting (ISTDM 2010), abstr.
(2010)
(131) Wrapper Design for a CDMA Bus in SOC
T. Nikolic, M. Stojcev, Z. Stamenkovic
Proc. 13th IEEE International Symposium on
Design and Diagnostics of Electronic Circuits
and Systems, 243 (2010)
(132) A 325 GHz Frequency Multiplier Chain
in a SiGe HBT Technology
E. Öjefors, B. Heinemann, U.R. Pfeiffer
Proc. IEEE International Microwave Symposium
(MTT), RFIC Symposium, 91 (2010)
(133) Radio Localization in OFDM Networks
using the ‚Round Trip Phase’
T. Ohlemueller, F. Winkler, E. Grass
Proc. 7th Workshop on Positioning, Navigation
and Communication 2010 (WPNC 2010),
23 (2010)
(134) Automatic Gain Controlling in IR-UWB
Communications Designed for Wireless
Sensors
S. Olonbayar, G. Fischer, D. Kreiser, R. Kraemer
Proc. IEEE International Conference on
Ultra-Wideband (ICUWB 2010), (2010)
(135) Inferring Technical Constraints of a
Wireless Sensor Network Application
from End-User Requirements
F.J. Oppermann, St. Peter
Proc. of the 6th International Conference on
Mobile Ad-hoc and Sensor Networks,
(MSN 2010), 169 (2010)
114
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
(136) Definition and Configuration of Reliable
Event Detection for Heterogeneous WSN
St. Ortmann
Proc. 8th IEEE International Conference on
Pervasive Computing and Communications
(PerComm 2010), 861 (2010)
(137) An Integrated Fractional-N Frequency
Synthesizer for Software-Defined Radio
Applications
S.A. Osmany, F. Herzel, J.C. Scheytt
Proc. 10th Topical Meeting on Silicon
Integrated Circuits in RF Systems (SiRF 2010),
243 (2010)
(138) A 10 Vpp SiGe Voltage Driver
P. Ostrovskyy, H. Gustat, J.C. Scheytt,
V. Stikanov
Proc. 20th International Crimean Conference
Microwave and Telecommunication
Technology (CriMiCo 2010), 109 (2010)
(139) Low Power Sensor Node Processor
Architecture
G. Panic, T. Basmer, K. Tittelbach-Helmrich,
L. Lopacinski
17th International Conference on Electronics,
Circuits and Systems, (ICECS 2010), 916
(2010)
(140) A Viterbi-based Non-Coherent DBPSKDemodulator with 1-Bit Quantization and
Digital Differential Decoding for AWGN
Channels
M. Petri, M. Piz, E. Grass
Proc. IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2010), 592 (2010)
(141) Design Flow Approach for Reliable Asic
Designs
V. Petrovic, G. Schoof
Proc. of the 7th International New Exploratory
Technologies Conference NEXT 2010, 68
(2010)
E R S C H I E N E N E
P ublikationen
(142) Body Area Network for First Responders –
a Case Study
K. Piotrowski, A. Sojka, P. Langendörfer
Proc. of the 5th International Conference on
Body Area Networks (BodyNets 2010)
(143) Wireless Sensor Networks Can Save Lives –
Benefits and Open Issues
K. Piotrowski, A. Sojka, P. Langendörfer
Proc. Sensoren und Messsysteme 2010, 724
(2010)
(144) Activated Pulsed Metalorganic Chemical
Vapor Deposition of Ge2Sb2Te2 Thin Films
Using Alkyl Precursors
D. Reso, M. Silinskas, B. Kalkofen, M. Lisker,
E.P. Burte
Mater. Res. Soc. Symp. Proc., 1251E,
1251-H03 (2010)
(145) Fabrication of Bragg Gratings for
Silicon-on-Insulator Waveguides
H.H. Richter, D. Stolarek, L. Zimmermann,
J. Bauer, St. Marschmeyer, I. Giuntoni,
A. Gajda, B. Tillack
Verhandlungen der DPG, 232 (2010)
–
P ublishe d
PA P E R S
(149) 60 GHz OFDM Transceiver RF Frontend
Design in SiGe BiCMOS
J.C. Scheytt, S. Glisic, Y. Sun, C.S. Choi,
M. Elkhouly, F. Herzel, E. Grass
Proc. IEEE Radio & Wireless Symposium 2010,
(2010)
(150) SiGe BiCMOS Circuits for High-Frequency
Communications and Sensing Applications
J.C. Scheytt, S. Glisic, P. Ostrovskyy, H. Gustat,
K. Schmalz, J. Borngräber, S.A. Osmany,
F. Herzel, B. Heinemann, H. Rücker, D. Knoll,
B. Tillack
Proc. Silicon Monolithic Integrated Circuits in
RF Systems (SiRF 2010), (2010)
(151) mm-Wave Transceiver and Component
Design for 60, 94 and 122 GHz in SiGe
BiCMOS Technology
J.C. Scheytt, S. Glisic, Y. Sun, K. Schmalz,
W. Winkler, W. Debski, F. Herzel
Proc. 6th Joint Symposium on Opto- &
Micro-electronic Devices and Circuits
(SODC 2010), 133 (2010)
(146) Technology Aspects of High-Speed
SiGe HBTs
H. Rücker
Proc. IEEE International Microwave
Symposium (IMS 2010), (2010)
(152) 122 GHz ISM-Band Transceiver Concept and
Silicon ICs for Low-Cost Receiver in SiGe
BiCMOS
K. Schmalz, W. Winkler, J. Borngäber,
W. Debski, B. Heinemann, J.C. Scheytt
Proc. IEEE International Microwave
Symposium (IMS 2010), 1332 (2010)
(147) Validation of a Theoretical Model for
NFmin Estimation of SiGe HBTs
N. Sarmah, K. Schmalz, J.C. Scheytt
Proc. German Microwave Conference
(GeMiC 2010), 265 (2010)
(153) High ASIC Reliability by Using
Fault-Tolerant Design Techniques
G. Schoof, M. Methfessel, R. Kraemer
Proc. of the 2nd Workshop on Design for
Reliability (DFR‘10), (2010)
(148) RF Bandpass Delta-Sigma Modulators for
Highly-Efficient Class-S Transmitters in
SiGe BiCMOS Technology
J.C. Scheytt, P. Ostrovskyy, H. Gustat
Proc. IEEE International Conference on
Wireless Information Technology and Systems
(ICWIT 2010), (2010)
(154) Fault-Tolerant ASIC Design for High System
Reliability
G. Schoof, M. Methfessel, R. Kraemer
Proc. Smart Systems Integration 2010,
50 (2010)
Annual
Repo r t
201 0
115
E R S C H I E N E N E
P ublikationen
(155) An All-Digital Phase-Locked Loop with
High Resolution for Local On-Chip Clock
Synthesis
O. Schrape, E. Grass, M. Petri, St. Zeidler,
U. Jagdhold, F. Winkler
Proc. (PATMOS 2010), Berlin, Springer Verl.,
LNCS 6448, 218 (2010)
(156) A 12 Bit High Speed Broad Band Low Power
Digital to Analog Converter with Hidden
Internal Calibration for Satellite
Telecommunications
K. Schrödinger, H. Gustat, A. Stanitzki,
G. Grau, J. Zhu, H.-V. Heyer, J.C. Scheytt,
L. Hili, P. Piironen, H. Kemper, O. Martinsson
Proc. Microwave Technology & Techniques
Workshop (2010)
(157) Solid-Phase Epitaxy of Amorphous Silicon
by in-situ Postannealing using RPCVD
O. Skibitzki, Y. Yamamoto, K. Köpke,
M.A. Schubert, G. Weidner, B. Tillack
Proc. of the International SiGe Technology
and Device Meeting (ISTDM 2010), abstr.
(2010)
(158) ShortECC: a Lightweight Security Approach
for Wireless Sensor Networks
A. Sojka, K. Piotrowski, P. Langendörfer
Proc. International Conference on
Security and Cryptography (SECRYPT 2010),
304 (2010)
(159) Integrated Si-LDMOS Transistors for 11 GHz
X-Band Power Amplifier Applications
R. Sorge, A. Fischer, A. Mai, P. Schley,
J. Schmidt, Ch. Wipf, R. Pliquett, R. Barth
Proc. IEEE Bipolar / BiCMOS Circuits and
Technology Meeting (BCTM 2010), 90 (2010)
(160) MAC and Baseband Hardware Platforms
for RF-MIMO WLAN
Z. Stamenkovic, K. Tittelbach-Helmrich,
M. Krstic, J. Ibanez, V. Elvira, I. Santamaria
Proc. 5th European Conference on Circuits and
Systems for Communications, 26 (2010)
116
An n ual
R e p or t
2 01 0
–
P ublishe d
PA P E R S
(161) SOC Design for Wireless Communication
Z. Stamenkovic
Proc. 12th Biennial Baltic Electronics
Conference, 25 (2010)
(162) Systemdesign einer sicheren und
drahtlosen Programmierschnittstelle für
Mikrocontroller unter Verwendung von
RFID-Technologien und integrierter
Kryptokerne
O. Stecklina, O. Krause, T. Basmer
Proc. Wireless Technologies Kongress, 257
(2010)
(163) A Low-Power 60 GHz Front-End with
Variable Gain LNA in SiGe BiCMOS
Y. Sun, J.C. Scheytt
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2010), 192 (2010)
(164) Novel Buffer Approach for GaN Integration
on Si(111) Platform Through Single
Sc2O3 / Y2O3 Buffer Layers
L. Tarnawska, A. Giussani, P. Zaumseil,
M.A. Schubert, P. Storck, T. Schroeder
Proc. 16th International Conference on
Molecular Beam Epitaxy, Workbook, 119
(2010)
(165) A New Lab-on-Chip Transmitter for the
Detection of Proteins Using RNA Aptamers
F. Tasdemir, S. Zihir, E. Ozeren, J.H. Niazi,
A. Qureshi, S.S. Kallempudi, M. Kaynak,
R. Scholz, Y. Gurbuz
Proc. 40th European Microwave Conference,
489 (2010)
(166) MAC Hardware Platform for RF-MIMO WLAN
K. Tittelbach-Helmrich, E. Miletic, P. Wcislek,
Z. Stamenkovic
Proc. 53rd IEEE International Midwest
Symposium on Circuits and Systems, 339
(2010)
E R S C H I E N E N E
P ublikationen
(167) Bipolar Resistive Switching Characteristics
of HfO2 Based MIM Devices
Ch. Walczyk, D. Walczyk, T. Schroeder,
M. Lukosius, M. Fraschke, E. Miranda,
B. Tillack, Ch. Wenger
Proc. 41st IEEE Semiconductor Interface
Specialists Conference (SISC 2010), abstr.
book, P. 38 (2010)
(168) CMOS Compatible TiN / HfO2 / TiN MIM
Devices for Future RRAM Applications
Ch. Walczyk, T. Schroeder, M. Lukosius,
D. Walczyk, M. Fraschke, A. Fox, D. Wolansky,
B. Tillack, Ch. Wenger
Proc. 16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), 76 (2010)
(169) Resistive Switching in TiN / HfO2 / Ti / TiN
MIM Devices for Future Nonvolatile Memory
Applications
Ch. Walczyk, T. Schroeder, M. Lukosius,
M. Fraschke, A. Fox, D. Wolansky, B. Tillack,
Ch. Wenger
Proc. Non-Volatile Memory Technology
Symposium 2009, (NVMTS 2009), (2010)
(170) An on-board Differential Bunny – Ear
Antenna Design for 60 GHz Applications
R. Wang, Y. Sun, J.C. Scheytt
Proc. 5th German Microwave Conference 2010
(GeMiC 2010), 9 (2010)
(171) Injection and Trapping of Electrons in Y2O3
Layers Deposited on Si
W.-Ch. Wang, M. Badylevich, V.V. Afanas‘jev,
A. Stesmans, S. Van Elshocht, M. Lukosius,
Ch. Walczyk, Ch. Wenger
2010 IOP Conference Series: Materials
Science Engineering, 8, 012028 (2010)
(172) Bipolar Resistive Switching Characteristics
of HfO2 Based 1T-1R Cells
Ch. Wenger, Ch. Walczyk, D. Walczyk,
M. Lukosius, M. Fraschke, T. Schroeder
Proc. Nanoelectronics Days, abstr. book, 23
(2010)
–
P ublishe d
PA P E R S
(173) Metal-Insulator-Metal Capacitors –
Intrinsic Constrains of High-k Dielectrics
Ch. Wenger, M. Lukosius, G. Lupina,
T. Schroeder
Proc. 16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), abstr. book,
69 (2010)
(174) LNA and Mixer for 122 GHz Receiver in
SiGe Technology
W. Winkler, W. Debski, K. Schmalz,
J. Borngräber, J.C. Scheytt
Proc. European Microwave Week
(EuMW 2010), 529 (2010)
(175) Benchmarking of WSN Solutions and IEEE
802.15.4-2006 PSSS based Solutions
A. Wolf, M. Mahlig
Proc. 9. GI/ITG KuVS Fachgespräch
Sensornetze, 13 (2010)
(176) Low Threading Dislocation Density Ge
Deposited on Si(100) Using RPCVD
Y. Yamamoto, K. Köpke, P. Zaumseil,
T. Arguirov, M. Kittler, B. Tillack
Proc. of the International SiGe Technology
and Device Meeting (ISTDM 2010), abstr.
(2010)
(177) Atomic Level Control of B Doping in Ge
Y. Yamamoto, R. Kurps, J. Murota, B. Tillack
Proc. 5th International Workshop on New
Group IV Semiconductor Nanoelectronics,
Program & abstr., 51 (2010)
(178) Laboratory-based Characterization of
Heteroepitaxial Structures: Advanced
Experiments not needing Synchrotron
Radiation
P. Zaumseil
Proc. Denver X-Ray Conference, Advances in
X-Ray Analysis 53, 54 (2010)
Annual
Repo r t
201 0
117
E ingela d ene
VO R T RÄG E
(179) On-line Testing of Bundled-Data
Asynchronous Handshake Protocols
St. Zeidler, A. Bystrov, M. Krstic, R. Kraemer
Proc. IEEE International On-Line Test
Symposium 2010, 261 (2010)
(180) Towards Silicon on Insulator DQPSK
Demodulators
L. Zimmermann, K. Voigt, G. Winzer,
K. Petermann
Proc. Optical Fiber Communications
Conference (OFC), (2010)
Eingeladene Vorträge
Invited Presentations
(1) Corrosion-Resistant Metal Layers from a
CMOS Process for Bioelectronic and Medical
Implant Applications
M. Birkholz, K.-E. Ehwald, D. Wolansky,
I. Costina, C. Baristiran-Kaynak, M. Fröhlich,
H. Beyer, A. Kapp, F. Lisdat
10th International Conference on Applied
Surface Engineering / Asian-Pacific
Interfinish Conference, 2010, Singapore,
October 20 - 22, 2010, Republic of Singapore
(2) GlucoPlant - Entwicklung eines
implantierbaren Glucosesensors
M. Birkholz, K.-E. Ehwald, R. Ehwald, P. Kulse,
T. Basmer, M. Fröhlich, J. Drews, U. Haak,
St. Marschmeyer, E. Matthus, K. Schulz,
W. Winkler, D. Wolansky
24. Treffpunkt Medizintechnik Intelligente:
Implantate und Prothesen – Entwicklungen
in Medizin & Technik, Charité Berlin, July 01,
2010, Germany
(3) Mikroelektronisch voll-integrierte
Biosensoren – und was die Werkstofftechnik im Kleinen dazu beitragen kann
M. Birkholz
Bundesanstalt für Materialprüfung und
-forschung, Berlin, September 24, 2010,
Germany
118
An n ual
R e p or t
2 01 0
–
I nvite d
P resentations
(4) Mikroviskosimeter zur kontinuierlichen
Glucosemessung
M. Birkholz
Institut für Bioprozess- und Analysenmesstechnik, Heiligenstadt, August 28, 2010,
Germany
(5) Nanoelektromechanischer Glucosesensor –
eine Entwicklung zwischen Mikroelektronik
und Biotechnologie
M. Birkholz
Seminar am Lehrstuhl Experimentalphysik II,
BTU Cottbus, January 29, 2010, Germany
(6) 60-GHz OFDM Wireless LAN Systems
Ch.-S. Choi, E. Grass, J.C. Scheytt
Seminar in Samsung Electronics, Suwon,
April 13, 2010, Korea
(7) Advanced Architectures for High
Performance SiGe HBTs
A. Fox, B. Heinemann
5th International SiGe Technology and Device
Meeting (ISTDM 2010), Kista,
May 24 - 26, 2010, Sweden
(8) Si Wafer Engineering: Single Crystalline
Oxides as Buffers for the Integration of
Alternative Semiconductors
A. Giussani
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(9) 60 GHz Kommunikationssysteme –
Stand der Technik und Ausblick
E. Grass
RadioTec 2010, Berlin, November 24, 2010,
Germany
(10) Characterization of BiCMOS Embedded
RF-MEMS Modules
M. Kaynak, K.-E. Ehwald, J. Drews, R. Scholz, F.
Korndörfer, C. Wipf, D. Knoll, R. Barth,
K. Schulz, D. Wolansky, B. Tillack
Smart Systems Integration 2010, Como,
March 23 - 24, 2010, Italy
E ingela d ene
VO R T RÄG E
–
I nvite d
P resentations
(11) Initial Stages of Oxygen and Vacancy
Agglomeration: Kinetic and Getter Effects
G. Kissinger, D. Kot, J. Dabrowski, W. Häckl,
V.D. Akhmetov, A. Sattler
6th Forum of the Science and Technology of
Silicon Materials, Okayama, November 14 - 17,
2010, Japan
(17) Sichere drahtlose Sensorknoten:
Herausforderungen und Lösungen
P. Langendörfer, O. Krause, O. Stecklina,
St. Peter, F. Vater
Workshop Mobilität und Sicherheit Daten und
Objekte fest im Griff, Wildau, June 24, 2010,
Germany
(12) Photoluminescence and EBIC for Process
Control and Failure Analysis in Si-Based
Photvoltaics
M. Kittler, T. Arguirov, T. Mchedlidze, R.
Schmid, W. Seifert
36th International Symposium for Testing and
Failure Analysis - Alternative Energy, Dallas,
November, 14 - 18, 2010, USA
(18) Towards Cyber Physical Systems Protection:
Recent Achievements and Challenges Ahead
P. Langendörfer, L. Buttyan, A. Casaca,
E. Osipov, D. Gessner
7. GI / ASQF Schloss-Steinhöfel-Seminar,
Steinhöfel, April 26, 2010, Germany
(13) Challenges of Wireless Ultra-High Speed
Communications
R. Kraemer
21st Annual Workshop on Interconnections
within High Speed Digital Systems, Santa Fe,
May 03 - 05, 2010, USA
(14) Drahtlose Kommunikation im Auto der
Zukunft
R. Kraemer
IV. Automobil-Zuliefertag 2010,
Frankfurt (Oder), December 14, 2010
(15) Application of Wireless Sensor Networks in
Critical Infractructure Protection –
Challenges and Design Options
P. Langendörfer, St. Peter, O. Stecklina
Workshop on Monitoring and Control for Full
Water-Cycle Management co-organized with
HD-MPC and EUCLID, Brussels, June 18, 2010,
Belgium
(16) Configurable Sensor Nodes for AAL
Applications
P. Langendörfer, K. Piotrowski, A. Sojka,
F. Vater
Ageing and Technology, Vechta, March 23,
2010, Germany
(19) Wireless Sensor Nodes as Building Blocks
for Real Life Applications
P. Langendörfer, K. Piotrowski, O. Stecklina,
St. Peter, F. Vater
2nd Workshop on Architectures, Services and
Applications for the Next Generation
Internet (WASA-NGI), Karlsruhe June, 29,
2010, Germany
(20) Direct Graphene Growth on Insulating
Weak Interacting Substrates
G. Lippert, O. Seifarth, J. Dabrowski,
G. Lupina, W. Mehr
Department of Physics, Harvard University,
Cambridge, Massachusetts, November 30,
2010, USA
(21) New Developments of Wireless Systems,
Circuits and THz Sensor for Security
W. Mehr, P. Langendörfer
2010 Fall Conference Symposium on Materials
Sciences and their Applications to Homeland
Security, Warsaw, September 16, 2010, Poland
(22) Security-Aware Composition of Software
Modules for Wireless Sensor Networks
St. Peter, P. Langendörfer, K. Piotrowski
TrustSoft, Graduiertenkolleg Vertrauenswürdige Software-Systeme, Universität
Oldenburg, June 16, 2010, Germany
Annual
Repo r t
201 0
119
E ingela d ene
VO R T RÄG E
(23) Wireless Sensor Networks Can Save Lives –
Benefits and Open Issues
K. Piotrowski, A. Sojka, P. Langendörfer
Sensoren und Messsysteme 2010, Nuremberg,
May 18 - 19, 2010, Germany
(24) Technology Aspects of High-Speed
SiGe HBTs
H. Rücker
IEEE International Microwave Symposium
(IMS 2010), Anaheim, May 23 - 28, 2010, USA
(25) Alternative Semiconductor Integration on
Si by Heteroepitaxy: Oxide Heterostructure
Buffer versus Patterned Si Wafer Approaches
T. Schroeder
IBM Zurich, January 14, 2010, Switzerland
(26) “More than Moore” Approaches at IHP to
further Functionalize SiGe:C BiCMOS
Technologies
T. Schroeder
CNT Dresden, January 21, 2010, Germany
(27) “More than Moore” Approaches for Silicon
based Microelectronics at IHP / Germany
T. Schroeder
ICMAB Barcelona, July 23, 2010, Spain
120
–
I nvite d
P resentations
(31) IHP as Access Point for West Balkan
Students and Scientists in European
ICT Research Programs
Z. Stamenkovic
eChallenges 2-2010 Conference & Exhibition,
Warsaw, October 27 - 29, 2010, Poland
(32) MAC and Baseband Hardware Platforms
for RF-MIMO WLAN
Z. Stamenkovic, K. Tittelbach-Helmrich,
M. Krstic, J. Ibanez, V. Elvira, I. Santamaria
5th European Conference on Circuits and
Systems for Communications, Belgrade,
November 23 - 25, 2010, Serbia
(33) SOC Design for Wireless Communication
Z. Stamenkovic
12th Biennial Baltic Electronics Conference,
Tallin, October 04 - 06, 2010, Estonia
(34) Atomic Control of Doping During Si Based
Epitaxial Layer Growth Processes
B. Tillack, Y. Yamamoto, J. Murota
218th Electrochemical Society Meeting; Si,
SiGe, and Related Compounds: Materials,
Processing, and Devices Symposium,
Las Vegas, October 10 - 15, 2010, USA
(28) “More than Moore” Strategies for Si
Microelectronics: From Materials to
Devices at IHP
T. Schroeder
TU Poznan, October, 07, 2010, Poland
(35) Atomic Level Control for Group IV
Semiconductor Processing
B. Tillack, Y. Yamamoto, J. Murota
5th International Workshop on New Group
IV Semiconductor Nanoelectronics, Sendai,
January 28 - 30, 2010, Japan
(29) Tailoring the Properties of Single
Crystalline Oxides on Silicon
T. Schroeder, O. Seifarth, B. Dietrich, A. Giussani, P. Zaumseil
E-MRS 2010, Strasbourg, June 07 - 11, 2010,
France
(36) SiGe BiCMOS Platform – Baseline
Technology for More than Moore Process
Module Integration
B. Tillack
Symposium, Southeast University, Nanjing,
November 14, 2010, China
(30) Graphene Research at IHP
O. Seifarth
TH Wildau, June 11, 2010, Germany
(37) SiGe BiCMOS Platform – Baseline
Technology for More than Moore Process
Module Integration
B. Tillack
An n ual
R e p or t
2 01 0
E ingela d ene
VO R T RÄG E
2010 Nanjing International Technology
Transfer Conference & Cooperation Forum on
APEC Low-Carbon Technology and Industry,
Nanjing, November 13, 2010, China
(38) SiGe BiCMOS Technologies for High
Frequency Applications
B. Tillack
Forschungszentrum Jülich, May 15, 2010,
Germany
(39) Biomedizinische Aktivitäten im IHP
Ch. Wenger
TH Wildau, April 15, 2010, Germany
(40) Embedded HfO2 Based Non-Volatile
Memory Cells
Ch. Wenger, M. Lukosius, Ch. Walczyk,
D. Walczyk, T. Schroeder
6. Treffen Materialien für Nichtflüchtige
Speicher der DGM, Dresden, March 31, 2010,
Germany
(41) High-k MIM Devices: Status and Future
Activities at IHP
Ch. Wenger
Joseph Fourier University Grenoble,
March 02, 2010, France
(42) MIM Process at IHP: Status and Future
Activities
Ch. Wenger
Namlab Dresden, January 20, 2010, Germany
–
I nvite d
P resentations
(44) Metal-Insulator-Metal Devices: Status and
Future Activities at IHP
Ch. Wenger
IBM Research Zurich, July 12, 2010,
Switzerland
(45) Stand und Entwicklungstrends bei
Si-basierten Mikrosystemen im
GHz-Bereich
Ch. Wenger, W. Mehr
15. Heiligenstädter Kolloquium,
Heiligenstadt, September, 28, 2010, Germany
(46) Überblick über biomedizinische
Aktivitäten im IHP
Ch. Wenger
TH Wildau, May 18, 2010, Germany
(47) Überblick über biomedizinische
Aktivitäten im IHP
Ch. Wenger
LAK Innovative Technologien, Frankfurt
(Oder), September 21, 2010, Germany
(48) Towards Silicon on Insulator DQPSK
Demodulators
L. Zimmermann, K. Voigt, G. Winzer,
K. Petermann
Optical Fiber Communications Conference
(OFC), San Diego, March 21 - 25, 2010, USA
(43) Metal-Insulator-Metal Capacitors –
Intrinsic Constrains of High-k Dielectrics
Ch. Wenger, M. Lukosius, G. Lupina,
T. Schroeder
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
Annual
Repo r t
201 0
121
VO R T RÄG E
–
Vorträge
Presentations
(1) Chemical Vapor Deposition and
Characterization of High-k BaHf1-xTixO3
Dielectric Layers for Microelectronic
Applications
A. Abrutis, T. Dapkus, S. Stanionyte,
V. Kubilius, G. Lupina, Ch. Wenger, M. Lukosius
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(2) Room Temperature Luminescence from Ge
T. Arguirov, M. Kittler, N.V. Abrosimov
Extended Defects in Semiconductors (EDS
2010), Brighton, September 19 - 24, 2010, UK
(3) Silicon Based Light Emitter Utilizing Tunnel
Injection of Excess Carriers via MIS
Structure
T. Arguirov, Ch. Wenger, M. Lukosius,
T. Mchedlidze, M. Reiche, M. Kittler
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
(4) Eine neue Fehlertoleranzmethode zur
Verringerung des Flächenaufwandes von
TMR-Systemen
M. Augustin, M. Gössel, R. Kraemer
Zuverlässigkeit und Entwurf,
4. GMM / GI / ITG-Fachtagung, Wildbad Kreuth,
September 13 - 15, 2010, Germany
(5) Reducing the Area Overhead of TMRSystems by Protecting Specific Signals
M. Augustin, M. Gössel, R. Kraemer
IEEE International On-Line Test Symposium
2010 (IOLTS 2010), Corfu, July 05 - 07, 2010,
Greece
122
An n ual
R e p or t
2 01 0
P resentations
(6) Mixed-Signal Techniques in mm-Wave
Range for 100 Gbit Decision Feedback
Equalizer
A. Awny, A. Thiede, M. Elkhouly, F. Korndörfer,
J. Borngräber, J.C. Scheytt
Silicon Monolithic Integrated Circuits in RF
Systems, SiRF 2010, New Orleans,
January 11 - 13, 2010, USA
(7) Speed / Power Performance of D-type
Flip-Flops in a 0.13 µm SiGe:C HBT
Technology Demonstrated by a 86 GHz
Static Frequency Divider
A. Awny, A. Thiede, J. Borngräber,
M. Elkhouly, J.C. Scheytt
5th German Microwave Conference
(GeMiC 2010), Berlin, March 15 - 17, 2010,
Germany
(8) Design and Characterization of a V-Band
Quadrature VCO Based on a CommonCollector SiGe Colpitts VCO
A. Barghouti, A. Krause, C. Carta, F. Ellinger,
J.C. Scheytt
IEEE Compound Semiconductor
IC Symposium (CSICS 2010), Monterey,
October 03 - 06, 2010, USA
(9) Electrical and Structural Characteristics of
SrTaO / SrTiO based M-I-M Capacitors
C. Baristiran Kaynak, M. Lukosius, I. Costina,
B. Tillack, Ch. Wenger, G. Ruhl, T. Blomberg
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(10) Enhanced Leakage Current Density of
SrTiO-SrTaO Bilayer Dielectrics for
Metal-Insulator-Metal Capacitors
C. Baristiran-Kaynak, M. Lukosius, I. Costina,
B. Tillack, Ch. Wenger, G. Ruhl, T. Blomberg,
E-MRS Spring Meeting, Strasbourg,
June 07 - 11, 2010, France
VO R T RÄG E
(11) Systemarchitektur intelligenter
Sensorimplantate
T. Basmer, P. Kulse, M. Birkholz
BMT 2010, Rostock, October 05 - 08, 2010,
Germany
(12) Deep-UV KrF Lithography for the
Fabrication of Bragg Gratings on
SOI Rib Waveguids
J. Bauer, D. Stolarek, L. Zimmermann,
I. Giuntoni, U. Haak, H.H. Richter,
St. Marschmeyer, A. Gajda, J. Bruns,
K. Petermann, B. Tillack
The 26th European Mask and Lithography
Conference EMLC 2010, Grenoble,
January 18 - 20, 2010, France
(13) A Thin Film Approach to Protein
Crystallography
M. Birkholz
Deutsche Tagung für Forschung mit
Synchrotronstrahlung, Neutronen und
Ionenstrahlen an Großgeräten (SNI2010),
Berlin, February 24-26, 2010, Germany
(14) Deformation of Electron Density of Oxygen
Ions in Pyrite-Type Compounds
M. Birkholz, J. Dabrowski
E-MRS Spring Meeting, Strasbourg,
June 07 - 11, 2010, France
(15) Elastomechanical Constants of TiN Beams
Determined by Laser Vibrometry
M. Birkholz, P. Kulse, K.-E. Ehwald, M. Kaynak,
J. Drews, M. Fröhlich, U. Haak, K. Schulz,
D. Wolansky
E-MRS Spring Meeting, Strasbourg,
June 07 - 11, 2010, France
(16) Entwicklung eines Glucosesensors für
Diabetes-Patienten
M. Birkholz
Sommerakademie des Pauluskreises,
Frankfurt (Oder), August 23, 2010, Germany
–
P resentations
(17) Quantitative Determination of Fiber
Texture Gradients in Thin ZnO:Al Films
M. Birkholz
Deutsche Tagung für Forschung mit Synchrotronstrahlung, Neutronen und Ionenstrahlen
an Großgeräten (SNI2010), Berlin,
February 24-26, 2010, Germany
(18) Structural Characterisation of 2D Protein
Crystals on Semiconductors by
Grazing-Indence Diffraction
M. Birkholz, I. Zizak, N. Darowski, I. Wallat,
H. Otto, M.P. Heyn
Deutsche Tagung für Forschung mit
Synchrotronstrahlung, Neutronen und
Ionenstrahlen an Großgeräten (SNI 2010),
Berlin, February 24 - 26, 2010, Germany
(19) ALD Grown NbTaOx Based MIM Capacitors
T. Blomberg, Ch. Wenger, C. Baristiran Kaynak,
G. Ruhl, P. Baumann
36th International Conference on Micro and
and Nano Engineering (MNE 2010), Genua,
September 19 - 22, 2010, Italy
(20) Modular Planar Waveguide Technologies for
Grating Fabrication in Silicon-on-Insulator
(SOI)
J. Bruns, L. Zimmermann, I. Giuntoni,
B. Wohlfeil, D. Stolarek, J. Bauer, B. Tillack,
K. Petermann
6th Joint Symposium on Opto- & Microelectronic Devices and Circuits (SODC 2010),
Berlin, October 05, 2010, Germany
(21) ILA: Idle Listening Avoidance in Scheduled
Wireless Sensor Networks
M. Brzozowski, H. Salomon, P. Langendörfer
8th International Conference on Wired / Wireless Internet Communications, (WWIC
2010), Lulea, June 01 - 03, 2010, Sweden
Annual
Repo r t
201 0
123
VO R T RÄG E
–
(22) Limiting End-to-End Delays in Long-Lasting
Sensor Networks
M. Brzozowski, H. Salomon, P. Langendörfer
8th ACM International Symposium on Mobility
Management and Wireless Access (MobiWac
2010), Bodrum, October 17 - 21, 2010, Turkey
(23) On Efficient Clock Drift Prediction Means
and their Applicability to IEEE 802.15.4
M. Brzozowski, H. Salomon, P. Langendörfer
8th IEEE / IFIP International Conference on
Embedded and Ubiquitous Computing
(EUC-10), Hong Kong, December 11 - 13,
2010, China
(24) Collaboration between 2.4 / 5 and 60 GHz
L. Cariou, P. Christin, S. Roblot, T. Derham,
I. Toyoda, Y. Asai, K. Ishihara, T. Ichikawa,
Y. Morioka, T. Booth, C. Choi, E. Grass,
P. Chamberlin
IEEE 802.11 Meeting, Beijing,
May 15 - 20, 2010, China
(25) Fast Session Transfer
L. Cariou, P. Christin, S. Roblot, T. Derham,
I. Toyoda, Y. Asai, K. Ishihara, T. Ichikawa,
Y. Morioka, T. Booth, C. Choi, E. Grass,
P. Chamberlin
IEEE 802.11 Meeting, Beijing,
May 15 - 20, 2010, China
(26) Heavy P Atomic-Layer Doping between Si
and Si0.3Ge0.7(100) by Ultraclean Low
Pressure CVD
Y. Chiba, M. Sakuraba, B. Tillack, J. Murota
5th International Workshop on New Group
IV Semiconductor Nanoelectronics, Sendai,
January 28 - 30, 2010, Japan
(27) Influence of Strain on P Atomic-Layer
Doping Characteristics in Strained
Si0.3Ge0.7 / Si(100) Heterostructures
Y. Chiba, M. Sakuraba, B. Tillack, J. Murota
The International SiGe Technology and Device
Meeting (ISTDM 2010), Stockholm,
May 24 - 26, 2010, Sweden
124
An n ual
R e p or t
2 01 0
P resentations
(28) 60 GHz OFDM Systems for Multi-Gigabit
Wireless LAN Applications
Ch.-S. Choi, E. Grass, M. Piz, M. Ehrig,
R. Kraemer, J.C. Scheytt
IEEE Consumer Communications and
Networking Conference, CCNC 2010, Las Vegas,
January 09 - 12, 2010, USA
(29) 60-GHz Adaptive Beamforming Receiver
Arrays for Interference Mitigation
Ch.-S. Choi, M. Elkhouly, E. Grass, J.C. Scheytt
IEEE International Symposium on Personal,
Indoor and Mobile Radio Communications
(PIMRC 2010), Istanbul, September 26 - 30,
2010, Turkey
(30) Beamforming Training for IEEE 802.11ad
Ch.-S. Choi, E. Grass, R. Kraemer, T. Derham,
S. Roblot, L. Cariou, P. Christin
IEEE 802.11 Meeting, Beijing, May 15 - 20,
2010, China
(31) Gbps OFDM Wireless LAN Systems with
60-GHz Silicon Radios
Ch.-S. Choi, E. Grass, J.C. Scheytt
IEEE Global Symposium on Millimeter Waves
(GSMM), Incheon, April 14-16, 2010, Korea
(32) Einblicke in eine unsichtbare Welt –
Atome ganz groß
I. Costina
Schüler-Campus 2010, BTU Cottbus,
March 11, 2010, Germany
(33) Scheduled Spatial Reuse with Collaborative
Beamforming
T. Derham, S. Roblot, L. Cariou, P. Christin,
C. Choi, E. Grass, R. Kraemer
IEEE 802.11ad Meeting, Beijing,
May 15 - 20, 2010, China
VO R T RÄG E
–
P resentations
(34) Radiation Studies of Power LDMOS Devices
for High Energy Physics Applications
S. Diez, M. Ullán, M. Ruat, P. FernándezMartinez, A. Villamor, G. Pellegrini, M. Lozano,
R. Sorge, D. Knoll
IEEE Nuclear and Space Radiation Effects
Conference, Denver, July 19 - 23, 2010, USA
(39) Basic Investigation of HfO2 based
Metal-Insulator-Metal (MIM) Diodes
P. Dudek, M. Lukosius, R. Schmidt, Ch. Wenger,
S. Stanionyte, A. Abrutis, M. Albert, T. Roesler,
K. Xu, A. Devi
E-MRS Spring Meeting 2010, Strasbourg,
June 07 - 11, 2010, France
(35) Radiation-Hard Power LDMOS Devices for
DC-DC Conversion in the ATLAS Upgrade
Experiment
S. Diez, M. Ullán, P. Fernández-Martinez,
I. Cortes, S. Hidalgo, D. Flores, G. Pellegrini,
M. Lozano, R. Sorge, D. Knoll
Topical Workshop on Electronics for Particle
Physics, Aachen, September 20 - 24, 2010,
Germany
(40) Electronic Structure of MBE Grown
HfO2-based Alkaline Earth Perovskites
P. Dudek, G. Lupina, G. Kozlowski,
J. Dabrowski, G. Lippert, H.-J. Müssig,
D. Schmeißer, T. Schroeder
International Workshop and Winter School:
Photoemission, Dijon, February 21 - 27, 2010,
France
(36)High-speed Comparators for SAR ADCs in
130 nm BiCMOS
J. Digel, M. Groezing, M. Berroth, H. Gustat,
J.C. Scheytt
6th Conference on Ph.D. Research in
Microelectronics and Electronics (PRIME
2010), Berlin, Juyl 18 - 21, 2010, Germany
(37) Atomic Scale Engineering of Future High-k
DRAM Dielectrics: The Example of Partial
Hf Substitution by Ti in BaHfO3
P. Dudek, G. Lupina, P. Zaumseil,
D. Schmeißer, T. Schroeder
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(38) Band Structure and Electrical Properties of
MBE Grown HfO2-based Alkaline Earth
Perovskites
P. Dudek, G. Lupina, G. Kozlowski,
J. Dabrowski, G. Lippert, H.-J. Müssig,
D. Schmeißer, T. Schroeder
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(41) A High Output P1dB 60-GHz
up-Conversation Image Rejection Mixer
in 0.25 µm SiGe Technology
M. Elkhouly, S. Glisic, J.C. Scheytt
Silicon Monolithic Integrated Circuits in
RF Systems (SiRF 2010), New Orleans,
January 11 - 13, 2010, USA
(42) Beamforming Circuits for 60 GHz
Transceivers
M. Elkhouly
7th Workshop on Analogue Integrated Circuit
Design, Dresden, January 29, 2010, Germany
(43) Millimeter-Wave Beamforming Circuits
M. Elkhouly
Workshop Analogschaltungen, University of
Ulm, March 11, 2010, Germany
(44) Millimeter-Wave Beamforming Circuits
in SiGe BiCMOS
M. Elkhouly, Ch.-S. Choi, S. Glisic, J.C. Scheytt,
F. Ellinger
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2010), Austin,
October 04 - 06, 2010, USA
Annual
Repo r t
201 0
125
VO R T RÄG E
(45) Baseband Processor for RF-MIMO WLAN
V. Elvira, J. Ibanez, I. Santamaria, M. Krstic,
K. Tittelbach-Helmrich, Z. Stamenkovic
17th International Conference on Electronics,
Circuits and Systems, (ICECS 2010), Athens,
December 12 - 15, 2010, Greece
(46) LEON2 Processor with High-Speed USB
Port: A System-On-Chip for Wireless
Applications
M. Eric, G. Panic, Z. Stamenkovic
27th International Conference on
Microelectronics, Nis, May 16 - 19, 2010,
Serbia
(47) A GALS FFT Processor with Clock
Modulation for Low-EMI Applications
X. Fan, M. Krstic, E. Grass, Ch. Wolf
21st IEEE International Conference on
Application-specific Systems, Architectures
and Processors, Rennes, July 07 - 09, 2010,
France
(48) An Impulse Radio UWB Transceiver with
High-Precision TOA Measurement Unit
G. Fischer, O. Klymenko, D. Martynenko,
H. Luediger
2010 International Conference on Indoor
Positioning and Indoor Navigation, Zurich,
September 16, 2010, Switzerland
(49) UWB Chipsatz für hochgenaue
Indoor-Lokalisierung
G. Fischer
Workshop Lokalisierung im Kontext
Autonome Vernetzte Sensorsysteme, Berlin,
May 05, 2010, Germany
(50) VBIC Models for High-Speed and
High-Voltage HBTs in IHP‘s 0.13 µm
BiCMOS Technology
G.G. Fischer
AK Bipolar, ST Microelectronics, Crolles,
October 15, 2010, France
126
An n ual
R e p or t
2 01 0
–
P resentations
(51) A Low-Noise 8-12 GHz Fractional-N PLL
in SiGe BiCMOS Technology
R. Follmann, D. Köther, F. Herzel, F. Winkler,
H.-V. Heyer
5th European Microwave Integrated Circuits
Conference, Paris, September 26 - October 01,
2010, France
(52) PECVD Synthesis of Silicon Nanowires
Assisted by Templates of Gallium Islands
A. Gewalt, B. Kalkofen, M. Lisker, E.P. Burte
2010 MRS Spring Meeting, San Francisco,
April 05 - 09, 2010, USA
(53) Integrated Drop-Filter for Dispersion
Compensation based on SOI Rib
Waveguides
I. Giuntoni, D. Stolarek, A. Gajda, G. Winzer,
J. Bruns, B. Tillack, K. Petermann,
L. Zimmermann
Optical Fiber Communications Conference
(OFC), San Diego, March 21 - 25, 2010, USA
(54) Ge Heteroepitaxy on Si via Pr Oxide Buffers
A. Giussani, P. Zaumseil, M.A. Schubert,
R. Kurps, T. Schroeder
DFG Oxide Project - Kick-off-Meeting,
University Osnabrück, February 10, 2010,
Germany
(55) Ge(111) / Cubic Pr2O3 / Si(111)
Heterostructures: A Structural,
Morphological and Analytical
Characterization
A. Giussani, P. Zaumseil, G. Weidner,
M.A. Schubert, I. Costina, T. Schroeder
SNI 2010 - Deutsche Tagung für Forschung
mit Synchrotronstrahlung, Neutronen und
Ionenstrahlen an Großgeräten, Berlin,
February 24 - 26, 2010, Germany
VO R T RÄG E
–
(56) Rare Earth Oxide Buffer Engineering for the
Integration of Germanium and Silicon Thin
Film Substrates onto the Si Platform
A. Giussani
16th International Conference on Molecular
Beam Epitaxy, Berlin, August 22 - 27, 2010,
Germany
(57) Y2O3 / Sc2O3-bi-Layer Heterostructures as
Epitaxial Buffers for the Integration of
GaN on Si
A. Giussani, L. Tarnawska, P. Zaumseil,
M.A. Schubert, P. Storck, T. Schroeder
E-MRS Spring Meeting, Strasbourg,
June 07 - 10, 2010, France
(58) A Fully Integrated 60 GHz Transmitter
Front-End in SiGe BiCMOS Technology
S. Glisic, K. Schmalz, F. Herzel, R. Wang,
M. Elkhouly, Y. Sun, J.C. Scheytt
RadioTech, Berlin, November 24, 2010,
Germany
(59) 6 GHz Medium Voltage LDMOS Power
Amplifier Based on Load / Source Pull
Characterization
D. Gruner, R. Sorge, A.Z. Markos, O. Bengtsson,
G. Boeck
5th German Microwave Conference (GeMiC),
Berlin, March 15 - 17, 2010, Germany
(60) A 1 W Si-LDMOS Power Amplifier with 40%
Drain Efficiency for 6 GHz WLAN
Applications
D. Gruner, R. Sorge, O. Bengtsson, A.Z. Markos,
G. Boeck
IEEE International Microwave Symposium
(IMS 2010), Anaheim, May 23 - 28, 2010, USA
P resentations
(61) CMOS Compatible Medium Voltage LDMOS
Transistors for Wireless Application up to
5.8 GHz
D. Gruner, R. Sorge, O. Bengtsson, A.Z. Markos,
G. Boeck
European Microwave Integrated Circuits Conference 2010, Paris,
September 27 - 28, 2010, France
(62) A SiGe H-Bridge Switching Amplifier for
Class-S Amplifiers with Clock Frequencies
up to 6 GHz
St. Heck, A. Bräckle, M. Schmidt, F. Schuller,
M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt
5th German Microwave Conference (GeMiC
2010), Berlin, March 15 - 17, 2010, Germany
(63) A Switching-Mode Amplifier for Class-S
Transmitters for Clock Frequencies up to
7.5 GHz in 0.25 µm SiGe-BiCMOS
St. Heck, M. Schmidt, A. Bräckle, F. Schuller,
M. Grözing, M. Berroth, H. Gustat, J.C. Scheytt
IEEE Radio Frequency Integrated Circuits
Symposium (RFIC 2010), Anaheim,
May 23 - 25, 2010, USA
(64) SiGe HBT Technology with fT / fmax of
300 GHz / 500 GHz and 2.0 ps CML Gate Delay
B. Heinemann, R. Barth, D. Bolze, J. Drews,
G.G. Fischer, A. Fox, O. Fursenko,
T. Grabolla, U. Haak, D. Knoll, R. Kurps,
M. Lisker, S. Marschmeyer, H. Rücker,
D. Schmidt, J. Schmidt, M.A. Schubert,
B. Tillack, C. Wipf, D. Wolansky, Y. Yamamoto
International Electron Devices Meeting,
(IEDM 2010), San Francisco,
December 06 - 08, 2010, USA
(65) An Efficient Algorithm for Phase Error
Minimization in Phase-locked Loops
F. Herzel
7th IEEE International Conference in Signals
and Electronic Systems (ICSES 2010), Gliwice,
September 07 - 10, 2010, Poland
Annual
Repo r t
201 0
127
VO R T RÄG E
(66) Local Oscillator Radiation Test Results of
the SiGe Technology SGB25 TM1TM2 of IHP
H.-V. Heyer, W. Moldenhauer, R. Follmann,
D. Köther, T. Podrebersek, F. Herzel,
J.C. Scheytt, M. Poizat, P. Piironen
3rd International Workshop on Analogue and
Mixed Signal Integrated Circuits for Space
Applications (AMICSA 2010), ESTEC,
Noordwijk, September 05 - 07, 2010,
The Netherlands
P resentations
(71) A Comparison of npn vs. pnp SiGe HBT
Oscillator Phase Noise Performance in a
Complementary SiGe Platform
St. J. Horst, P. Chakraborty, P. Saha,
J.D. Cressler, H. Gustat, B. Heinemann,
G.G. Fischer, D. Knoll, B. Tillack
2010 Bipolar / BiCMOS Circuits and
Technology Meeting, (BCTM 2010), Austin,
October 04 - 06, 2010, USA
(67) Point-of-Load (POL) Converter
H.-V. Heyer, J.C. Scheytt
Anwenderplattform, Bremen,
June 23 - 24, 2010, Germany
(72) Fully Integrated 9 GHz CMOS VCO with
Very Low Phase Noise
K. Hu, F. Herzel, J.C. Scheytt
IEEE International Symposium on Circuits and
Systems (ISCAS 2010), Paris,
May 30 - June 02, 2010, France
(68) SiGe Single Chip Fractional-N Local
Oscillator for Telecommunication Satellite
Applications
H.-V. Heyer, R. Follmann, D. Köther, F. Herzel,
F. Winkler, H. Janson, R. Petersson,
B.-M. Folio, C. Miquel, P. Piironen
Microwave Technology and Techniques
Workshop, ESTEC, Noordwijk, May 10, 2010,
The Netherlands
(73) Evaluation and Optimisation of Robustness
in the IEEE 802.15.4a Standard
J. Hund, S. Olonbayar, R. Kraemer,
Ch. Schwingenschlögel
2010 IEEE International Conference on
Ultra-Wideband (ICUWB 2010), Nanjing,
September 20 - 23, 2010, China
(69) Oxygen Engineering of Hafnium Oxide Thin
Films Grown by Reactive Molecular Beam
Epitaxy (R-MBE)
E. Hildebrandt, J. Kurian, I. Costina,
T. Schroeder, L. Alff
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(70) Effect of Heavy Carbon Atomic-Layer
Doping upon Intermixing and Strain
Si1-xGex / Si(100) Heterointerface
T. Hirano, M. Sakuraba, B. Tillack, J. Murota
5th International Workshop on New Group
IV Semiconductor Nanoelectronics, Sendai,
January 28 - 30, 2010, Japan
128
–
An n ual
R e p or t
2 01 0
(74) Dielectric BD in Polycrystalline HfO2 Gate
Dielectrics Investigated with CAFM
V. Iglesias, M. Porti, M. Nafria, X. Aymerich,
P. Dudek, G. Bersuker
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(75) Creation of a Radiation Hard 0.13 Micron
CMOS Library at IHP
U. Jagdhold
DAta Systems In Aerospace (DASIA 2010),
Budapest, June 01 - 04, 2010, Hungary
VO R T RÄG E
(76) Extrinsic Effects of Indirect Radiative
Transition of Ge
S.R. Jan, C.-H. Lee, T.-H. Cheng, Y.Y. Chen,
K.-L. Peng, S.-T. Chan, C.W. Liu, Y. Yamamoto,
B. Tillack
218th Electrochemical Society Meeting, SiGe,
Ge and Related Compounds, Processing and
Devices Symposium, Las Vegas,
October 10 - 15, 2010, USA
(77) Anwendung akustischer Sensoren
für die Bioanalytik
U. Kaletta
Vorstellung der biomedizinischen Aktivitäten
des IHP‘s an der TH Wildau, April 15, 2010,
Germany
(78) Entwicklung eines AIN-basierten
Oberflächenwellensensors für die
Bioanalytik
U. Kaletta, Ch. Wenger
15. Heiligenstädter Kolloquium – Technische
Systeme für die Lebenswissenschaften,
September 27 - 29, 2010, Germany
(79) Room Temperature Direct Band Gap
Emission from Ge p-i-n Heterojunction
Photodiodes
E. Kasper, M. Oehme, T. Arguirov, J. Werner,
M. Kittler, J. Schulze
7th International Conference on Group IV
Photonics, Beijing, September 01 - 03, 2010,
China
(80) N Atomic-Layer Doping in Si / Si1-xGex / Si
(100) Heterostructure Growth by
Low-Pressure CVD
T. Kawashima, M. Sakuraba, B. Tillack,
J. Murota
5th International Workshop on New Group
IV Semiconductor Nanoelectronics, Sendai,
January, 28 - 30, 2010, Japan
–
P resentations
(81) BiCMOS Embedded MEMS Technology
M. Kaynak
European Microwave Week, Paris,
September 28 - 30, 2010, France
(82) BiCMOS Embedded RF-MEMS Switch for
Above 90 GHz Applications Using Backside
Integration Techniques
M. Kaynak, M. Wietstruck, R. Scholz, J. Drews,
R. Barth, K.-E. Ehwald, A. Fox, U. Haak,
D. Knoll, F. Korndörfer, S. Marschmeyer,
K. Schulz, C. Wipf, D. Wolansky, B. Tillack,
K. Zoschke, T. Fischer, Y.S. Kim, J.S. Kim,
W.-G. Lee, J.W. Kim
International Electron Devices Meeting,
(IEDM 2010), San Francisco,
December 06 - 08, 2010, USA
(83) Characterization of an Embedded
RF-MEMS Switch
M. Kaynak, K.-E. Ehwald, R. Scholz,
F. Korndörfer, C. Wipf, Y. Sun, B. Tillack,
S. Zihir, Y. Gurbuz
10th Topical Meeting on Silicon Integrated
Circuits in RF Systems (SiRF 2010),
New Orleans, January 13, 2010, USA
(84) Embedded MEMS Modules for BiCMOS
Process
M. Kaynak, K.-E. Ehwald, J. Drews, R. Scholz, F.
Korndörfer, C. Wipf, D. Knoll, R. Barth,
M. Birkholz, K. Schulz, D. Wolansky, B. Tillack
5th German Microwave Conference (GeMiC
2010), Berlin, March 15 - 17, 2010, Germany
(85) A 6 Bit Linear Binary RF DAC in 0.25 µm
BiCMOS for Communication Systems
M. Khafaji, H. Gustat, J.-C. Scheytt
International Microwave Symposium
(IMS 2010), Anaheim, May 23 - 28, 2010, USA
Annual
Repo r t
201 0
129
VO R T RÄG E
–
(86) Electronic Components in SiGe BiCMOS
Technology for Spectrally Efficient
Fiber-Optic Links
M. Khafaji
7th Workshop on Analogue Integrated Circuit
Design, Dresden, January 29, 2010, Germany
(87) Monotonic above 20 GSps Binary DAC in
0.25 µm SiGe BiCMOS
M. Khafaji, H. Gustat, J.C. Scheytt
6. Joint Symposium on Opto- and
Microelectronic Devices and Circuits (SODC
2010), Berlin, October 04 - 07, 2010, Germany
(88) Directional Solidification of Solar-Grade
Silicon under Traveling Magnetic Fields
F.-M. Kiessling, N. Dropka, A. Lüdge,
R. Fornari, Ch.Frank-Rotsch, U. Juda, P. Lange,
M. Naumann, U. Rehse, O. Root, F. Büllesfeld,
M. Müller, V.D. Akhmetov, W. Seifert,
M. Kittler, P. Rudolph
E-MRS Fall Meeting, Symposium C, Warsaw,
September 14, 2010, Poland
(89) Directional Solidification of Solar-Grade
Silicon under Traveling Magnetic Fields
F.-M. Kiessling, N. Dropka, A. Lüdge,
R. Fornari, Ch.Frank-Rotsch, U. Juda, P. Lange,
M. Naumann, U. Rehse, O. Root, F. Büllesfeld,
M. Müller, V.D. Akhmetov, W. Seifert,
M. Kittler, P. Rudolph
16th International Conference Crystal Growth,
Beijing, August 08 - 13, 2010, China
(90) Getter Effects in Low Oxygen and High
Oxygen Czochralski Silicon Wafers
G. Kissinger, D. Kot, W. Häckl
218th Electrochemical Society Meeting,
High Purity Silicon XI, Las Vegas,
October 10 - 15, 2010, USA
(91) Modeling the Early Stages of Oxygen
Agglomeration
G. Kissinger, J. Dabrowski, D. Kot,
V.D. Akhmetov, A. Sattler, W. von Ammon CSTIC
2010, Shanghai, March 16 - 18, 2010, China
130
An n ual
R e p or t
2 01 0
P resentations
(92) Photoluminescence Study of Ge Containing
Crystal Defects
M. Kittler, T. Arguirov, M. Oehme
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
(93) Anomalous Temperature Behaviour of
Electroluminescence at Solar Cells
A. Klossek, T. Arguirov, T. Mchedlidze,
M. Kittler
E-MRS Spring Meeting, Strasbourg,
June 06 - 11, 2010, France
(94) A 53-64-GHz SiGe Up-Conversion Mixer
with 4-GHz-IF Bandwidth
M. Ko, H. Rücker, W.-Y. Choi
Silicon Monolithic Integrated Circuits in RF
Systems, SiRF 2010, New Orleans,
January 11 - 13, 2010, USA
(95) On the Dependence of the Thermal
Resistance on Collector Properties
of SiGe HBTs
F. Korndörfer, C. Wipf
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2010), Austin,
October 04 - 06, 2010, USA
(96) Simulation and Measurement of Back Side
Etched Inductors
F. Korndörfer, M. Kaynak, V. Mühlhaus
European Microwave Week, Paris,
September 26 - October 01, 2010, France
(97) Comparison of Cu Getter Efficiency for
Silicon Wafers Contaminated with Low and
High Concentrations of Cu Atoms
D. Kot, G. Kissinger, I. Costina, A. Sattler,
T. Müller
218th Electrochemical Society Meeting, High
Purity Silicon XI, Las Vegas,
October 10 - 15, 2010, USA
VO R T RÄG E
(98) The Influence of Elastic and Inelastic
Processes on Trap Assisted Tunneling
Through Thin Dielectric Films
G. Kozlowski, J. Dabrowski, P. Dudek,
G. Lippert, G. Lupina
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(99) Challenges of Wireless Ultra-High Speed
Communications
R. Kraemer
DAAD-Projektmeeting, Skopje,
May 10 - 12, 2010, Macedonia
(100) RF-MIMO WLAN Modem Demonstrator
R. Kraemer, Z. Stamenkovic, K. TittelbachHelmrich, L. Gonzalez, S. Ruiz, O. Gago,
J. Ibanez, V. Elvira, M. Wickert, R. Eickhoff
25th Wireless World Research Forum Meeting,
London, November, 16 - 18, 2010, UK
(101) Efficient Synchronization Method for
IR-UWB 802.15.4a Non-Coherent Energy
Detection Receiver
D. Kreiser, S. Olonbayar
Internet of Things Symposium (IOTS 2010),
Hangzhou, December 18 - 20, 2010, China
(102) Test einer Bluetooth-Funkstrecke für die
Prozessautomatisierung
J. Krimmling, St. Peter, D. Schmidt, M. Mahlig
SPS / IPC / Drives Kongress 2010, Nuremberg,
November 23 - 25, 2010, Germany
(103) GALS Design for Nanoscale Digital Systems
M. Krstic
DAAD Workshop on Embedded System Design,
Nis, July 05- 09, 2010, Serbia
(104) GALS Systems with Low-EMI Features
M. Krstic
DAAD Workshop on Embedded System Design,
Nis, July 05- 09, 2010, Serbia
–
P resentations
(105) Practical Aspects of VLSI Design
M. Krstic
DAAD Summerschool, Sarajevo, August 30 September 03, 2010, Bosnia & Herzegovina
(106) A 52-75 GHz Frequency Quadrupler
in 0.25 µm SiGe BiCMOS Process
N.-Ch. Kuo, Z.-M. Tsai, K. Schmalz,
J.C. Scheytt, H. Wang
European Microwave Week (EuMW 2010),
Paris, September 26 - October 01, 2010, France
(107) Direct Graphene Growth on Insulating
Weak Interacting Substrates
G. Lippert, O. Seifarth, J. Dabrowski,
G. Lupina, W. Mehr
MRS Fall Meeting, Boston,
November 29 - December 03, 2010, USA
(108) Alternative High-k Dielectrics for
Metal-Insulator-Metal Applications
M. Lukosius, C. Baristiran Kaynak, Ch. Wenger
Electrochemical Society Meeting, Las Vegas,
October 10 - 15, 2010, USA
(109) Atomic Vapour Deposition of TiTaO for
MIM Applications
M. Lukosius, C. Baristiran Kaynak, Ch. Wenger,
G. Ruhl, S. Rushworth
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(110) Electrical Characteristics of TiTaO Based
MIM Capacitors
M. Lukosius, Ch. Wenger, G. Ruhl, S. Rushwoth
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(111) High Performance MIM Capacitors with
Atomic Vapor Deposited HfO2 Dielectrics
M. Lukosius, M. Fraschke, G. Weidner,
Ch. Wenger
International Workshop and Winter School:
Photoemission, Dijon, February 21 - 27, 2010,
France
Annual
Repo r t
201 0
131
VO R T RÄG E
P resentations
(112) Preparation and Characterization of High-k
Dielectric Films for Future DRAMs
G. Lupina, J. Dabrowski, G. Kozlowski,
P. Dudek, G. Lippert, H.-J. Müssig
Abschlusstreffen MEGAEPOS-Projekt, Dresden,
January 19, 2010, Germany
(118) Remote Medical Treatment at Home Using
the Java Mobile Sensor API
M. Maaser, St. Ortmann
IEEE Globecom 2010, 3rd International
Workshop on Smart Homes for Tele-Health
(SmartTel ‚10), Miami, December 06, 2010, USA
(113) Directional Couplers from 30 to 140 GHz
in Silicon
B. Lämmle, K. Schmalz, J.C. Scheytt,
A. Koelpin, R. Weigel
Asia Pacific Microwave Conference (APMC
2010), Yokohama, December 07 - 10, 2010,
Japan
(119) Drain-Extended MOS Transistors Capable for
Operating at 10 V and Radio Frequencies
A. Mai, H. Rücker
European Solid-State Device Research
Conference (ESSDERC), Sevilla,
September 13 - 17, 2010, Spain
(114) Future Trends of Integrated Millimeter
Wave Sixt-Port Receivers
B. Lämmle, K. Schmalz, J.C. Scheytt,
A. Koelpin, R. Weigel
6th Joint Symposium on Opto- & MicroElectronic Devices and Circuits, (SODC 2010),
Berlin, October 03-09, 2010, Germany
(115) Vertical Optical Interfacing of Silicon
Waveguides
P. Lützow, H. Heidrich, H.H. Richter,
L. Zimmermann
ECIO 2010, 15th European Conference on
Integrated Optics, Cambridge,
April 07 - 09, 2010, UK
(116) Corrosion Behaviors of Kevin-Contact
during W-CMP
H. Ma, D. Wolansky, H. Rücker, R. Barth,
A. Wolff
25th CMP User Meeting, Dresden,
October 22, 2010, Germany
(117) Automated Mapping of MAC Parameters
into Generic QoS Parameters by Inter-MAC
Adaptors
M. Maaser, St. Nowak, P. Langendörfer
IEEE International Symposium on Personal,
Indoor and Mobile Radio Communications
(PIMRC 2010), Istanbul,
September, 26 - 30, 2010, Turkey
132
–
An n ual
R e p or t
2 01 0
(120) Performance Evaluation of Channel Coding
for Gbps 60-GHz OFDM-based Wireless
Communications
M. Marinkovic, M. Piz, Ch.-S. Choi, G. Panic,
M. Ehrig, E. Grass
IEEE International Symposium on Personal,
Indoor and Mobile Radio Communications
(PIMRC 2010), Istanbul,
September 26 - 30, 2010, Turkey
(121) X-Ray Scattering on Semiconductor
Nanostructures: GaMnAs and Ge on Si (001)
J. Matejova, V. Holy, P. Zaumseil, G. Kozlowski,
T. Schroeder, L. Horak
Week of Doctoral Students (WDS 2010),
Prague, June 01 - 04, 2010, Czechia
(122) How Key Establishment in Medical Sensor
Networks Benefits from Near Field
Communication Technology
O. Maye, St. Peter
The 1st IEEE / ACM Internet of Things
Symposium (IOTS 2010), Hangzhou,
December 18 - 20, 2010, China
(123) 3rd Generation Photovoltaic Cells Based on
Si / SiO2 Multiple Quantum Wells with
Nanometer Thick Si Layers
T. Mchedlidze, T. Arguirov, M. Kittler
Workshop Photovoltaik, Cottbus,
September 24, 2010, Germany
VO R T RÄG E
–
(124) Characterization of Crystalline Silicon on
Glass Using Photoluminescence
T. Mchedlidze, J. Schneider, T. Arguirov,
M. Kittler
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
(125) Fast Solid Phase Crystallization of
Nanometer Thick Silicon Layers by Light
T. Mchedlidze, T. Arguirov, M. Ratzke,
N. Acharya, M. Kittler
NANO 2010, Roma, September 12 - 17, 2010,
Italy
(126) Structures Responsible for Radiative and
Non-Radiative Recombination Activity of
Dislocations in Silicon
T. Mchedlidze, T. Arguirov, O. Kononchuk,
M. Trushin, M. Reiche, M. Kittler
E-MRS Spring Meeting 2010, Strasbourg,
June 07 - 10, 2010, France
(127) Third Generation Photovoltaic Cells Based
on Si / SiO2 Multiple Quantum Wells With
Nanometer Thick Si
T. Mchedlidze, T. Arguirov, M. Kittler
Workshop Photovoltaik, BTU Cottbus,
September 24, 2010, Germany
(128) Photonic Crystal Microacavities in SOI
Waveguides Produced in a CMOS
Environment
St. Meister, A. Al-Saadi, B. A. Franke, S. Mahdi,
K. Voigt, B. Kuhlow, B. Tillack, H.H. Richter,
L. Zimmermann, V. Ksianzou, S.K. Schrader,
H.-J. Eichler
SPIE Photonics West Opto, San Francisco,
January 23 - 28, 2010, USA
P resentations
(129) MAC Protocol Requirements for WLANs
with Beamforming
E. Miletic, K. Tittelbach-Helmrich
18th Telecommunications Forum, (TELFOR
2010), Belgrade, November 23 - 25, 2010,
Serbia
(130) Computer Centric Versus Network Centric
Concepts
S. Montenegro, B. Vogel, G. Schoof, V. Petrovic
Data Systems in Aerospace (DASIA 2010),
Budapest, June 01 - 04, 2010, Hungary
(131) Network Centric Systems for Space
Applications
S. Montenegro, V. Petrovic, G. Schoof
The 2nd International Conference on
Advances in Satellite and Space
Communications (SPACOMM 2010), Athens,
June 13 - 19, 2010, Greece
(132) Spacecraft Area Network (Scan) for Plug
and Play of Devices
S. Montenegro, B. Vogel, V. Petrovic,
G. Schoof, A. Herrholz, K. Gruettner
Pestana Conference Centre Portugal 2010,
Funchal, May 31 - June 04, 2010, Portugal
(133) Atomically Controlled Processing in
Strained Si-Based CVD Epitaxial Growth
J. Murota, M. Sakuraba, B. Tillack
International Conference on Solid State and
Integrated-Circuit Technology (ICSICT 2010),
Shanghai, November 01 - 04, 2010, China
(134) In-Situ Heavy B-Doped Si Epitaxial Growth
on Tensile-Strained Si (100) by Ultraclean
Low-Pressure CVD using SiH4 and B2H6
M. Nagato, M. Sakuraba, J. Murota, B. Tillack,
Y. Inokuchi, Y. Kunii, H. Kurokawa
The International SiGe Technology and Device
Meeting (ISTDM 2010), Stockholm,
May 24 - 26, 2010, Sweden
Annual
Repo r t
201 0
133
VO R T RÄG E
–
(135) Wrapper Design for a CDMA Bus in SOC
T. Nikolic, M. Stojcev, Z. Stamenkovic
13th IEEE International Symposium on Design
and Diagnostics of Electronic Circuits and
Systems, Vienna, April 14 - 16, 2010, Austria
(136) Radio Localization in OFDM Networks
using the ‚Round Trip Phase‘
T. Ohlemueller, F. Winkler, E. Grass
7th Workshop on Positioning, Navigation and
Communication 2010 (WPNC 2010), Dresden,
March 11, 2010, Germany
(137) Automatic Gain Controlling in IR-UWB
Communications Designed for Wireless
Sensors
S. Olonbayar, G. Fischer, D. Kreiser, R. Kraemer
2010 IEEE International Conference on
Ultra-Wideband (ICUWB 2010), Nanjing,
September 20 - 23, 2010, China
(138) Inferring Technical Constraints of a
Wireless Sensor Network Application from
End-User Requirements
F.J. Oppermann, St. Peter
The 6th International Conference on Mobile
Ad-hoc and Sensor Networks, (MSN 2010),
Hangzhou, December 20 - 22, 2010, China
(139) Reliable Event Configuration in
Heterogeneous Wireless Sensor Networks
St. Ortmann
8th IEEE International Conference on
Pervasive Computing and Communications
(PerComm 2010), Mannheim,
March 29 - April 02, 2010, Germany
(140) An Integrated Fractional-N Frequency
Synthesizer for Software-Defined Radio
Applications
S.A. Osmany, F. Herzel, J.C. Scheytt
10th Topical Meeting on Silicon Integrated
Circuits in RF Systems (SiRF 2010),
New Orleans, January 13, 2010, USA
134
An n ual
R e p or t
2 01 0
P resentations
(141) A 10 Vpp SiGe Voltage Driver
P. Ostrovskyy, H. Gustat, J.C. Scheytt,
V. Stikanov
20th International Crimean Conference
Microwave and Telecommunication
Technology (CriMiCo 2010), Sevastopol,
September 13 - 17, 2010, Ukraine
(142) Low Power Sensor Node Processor
Architecture
G. Panic, T. Basmer, K. Tittelbach-Helmrich,
L. Lopacinski
17th International Conference on Electronics,
Circuits and Systems, (ICECS 2010), Athens,
December 12 - 15, 2010, Greece
(143) MATRIX-Middleware für die Realisierung
Internet-basierter telemedizinischer
Dienste
B. Parandian, K. Dewitz, M. Schultz,
Ch. Carius-Düssel, S.L. Lau, I. König, K. David,
M. Maaser, St. Ortmann
1. Nationaler Fachkongress Telemedizin,
Berlin, November 03 - 05, 2010, Germany
(144) A Viterbi-based Non-Coherent DBPSKDemodulator with 1-Bit Quantization and
Digital Differential Decoding for AWGN
Channels
M. Petri, M. Piz, E. Grass
IEEE International Symposium on Personal,
Indoor and Mobile Radio Communications
(PIMRC 2010), Istanbul,
September 26 - 30, 2010, Turkey
(145) Design Flow Approach for Reliable Asic
Designs
V. Petrovic, G. Schoof
The 7th International New Exploratory
Technologies Conference (NEXT 2010), Turku,
October 19 - 21, 2010, Finland
VO R T RÄG E
–
P resentations
(146) Body Area Network for First Responders –
a Case Study
K. Piotrowski, A. Sojka, P. Langendörfer
The 5th International Conference on Body
Area Networks (BodyNets 2010), Corfu, September 10 - 12, 2010, Greece
(152) Hot Wire Chemical Vapor Deposition of
Ge2Sb2Te5 Thin Films
D. Reso, M. Silinskas, B. Kalkofen, M. Lisker,
E.P. Burte
217th Electrochemcial Society Meeting (ECS),
Vancouver, April 25 - 30, 2010, Canada
(147) FeuerWhere Body Area Network
Demonstrator
K. Piotrowski, A. Sojka, P. Langendörfer
The 16th Annual International Conference on
Mobile Computing and Networking (MobiCom
2010), Chicago, September 20 - 24, 2010, USA
(153) Fabrication of Bragg Gratings for
Silicon-on-Insulator Waveguides
H.H. Richter, D. Stolarek, L. Zimmermann,
J. Bauer, St. Marschmeyer, I. Giuntoni,
A. Gajda, B. Tillack
DPG Frühjahrstagung der Sektion AMOP,
Hasnover, March 08 - 12, 2010, Germany
(148) Scanning Probe Studies of Amorphous
Silicon Subjected to Laser Annealing
M. Ratzke, T. Mchedlidze, T. Arguirov,
N. Acharya, M. Kittler, J. Reif
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
(149) Properties of Interfacial Dislocations in
Hydrophobic Bonded Si-Wafers
M. Reiche, M. Kittler, A. Haehnel, T. Arguirov,
T. Mchedlidze
ECS Fall Meeting, Las Vegas,
Ocotber 10 - 15, 2010, USA
(150) Structure and Properties of Dislocations in
Interfaces of bonded Si Wafers
M. Reiche, M. Kittler, R. Scholz, A. Haehnel,
T. Arguirov
Extended Defects in Semiconductors (EDS
2010), Brighton, September 19 - 24, 2010, UK
(151) Activated Pulsed Metalorganic Chemical
Vapor Deposition of Ge2Sb2Te2 Thin Films
Using Alkyl Precursors
D. Reso, M. Silinskas, B. Kalkofen, M. Lisker,
E.P. Burte
MRS Spring Meeting, San Francisco,
April 05 - 09, 2010, USA
(154) Large Scale MBE: Routes Towards III-V
Based Devices on Production Size Silicon
Wafers
M. Richter, D.J. Webb, C. Gerl, M. Bjoerk,
H. Schmid, C. Rossel, M. Sousa, C. Marchiori,
S. Abel, C. Andersson, D. Caimi, H. Siegwart, T.
Topuria, L.E. Krupp, P. Rice, T. Schroeder,
A. Giussani, P. Zaumseil, J. Fompeyrine
16th International Conference on Molecular
Beam Epitaxy, Berlin, August 22 - 27, 2010,
Germany
(155) Research on Efficiency-Limiting Defects
and Defect Engineering in Silicon Solar
Cells – Results of the German Research
Cluster Solar Focus
S. Riepe, I. Reis, W. Kwapil, W. Koch, J. Schön,
H. Behnken, J. Bauer, D. Kreßner-Kiel,
W. Seifert, M. Seibt
E-MRS Spring Meeting, Strasbourg,
June 07 - 11, 2010, France
(156) Phonon Confinement for High-Efficiency
Integrated Acoustiv Wave Devices on Silicon
P. Santos, S. Rauwerdink, K. Biermann,
B. Drescher, W. Seidel, Ch. Wenger, U. Kaletta,
M. Fraschke, D. Wolansky, M. Kaynak
3rd International Summer School Son et
Lumiere: Phononics and Photonics at
Nanoscale, Korsika, August 31 - September 11,
2010, France
Annual
Repo r t
201 0
135
VO R T RÄG E
–
(157) Frequency Doublers at Millimeter Wave
Frequencies
N. Sarmah
12. Workshop Analogschaltungen, University
of Ulm, March 11, 2010, Germany
(158) Validation of a Theoretical Model for
NFmin Estimation of SiGe HBTs
N. Sarmah, K. Schmalz, J.C. Scheytt
5th German Microwave Conference (GeMiC
2010), Berlin, March 15 - 17, 2010, Germany
(159) 60 GHz OFDM Transceiver RF Frontend
Design in SiGe BiCMOS
J.C. Scheytt, S. Glisic, Y. Sun, C.S. Choi,
M. Elkhouly, F. Herzel, E. Grass
IEEE Radio & Wireless Symposium 2010,
San Diego, January 18 - 22, 2010, USA
136
P resentations
(163) Transceiver in Siliziumtechnologie für
drahtlose Kommunikation und Sensorik
bei 60 GHz und 122 GHz
J.C. Scheytt
Fakultätskolloquium der Ruhr-Universität,
Bochum, March 03, 2010, Germany
(164) mm-Wave Transceiver and Component
Design for 60, 94 and 122 GHz in SiGe
BiCMOS Technology
J.C. Scheytt, S. Glisic, Y. Sun, K. Schmalz,
W. Winkler, W. Debski, F. Herzel
6th Joint Symposium on Opto- & Micro-electronic Devices and Circuits (SODC 2010),
Berlin, October 03 - 10, 2010, Germany
(160) Das IHP - Partner für die Chipentwicklung
J.C. Scheytt
6. Technologietag Mitteldeutschland,
Dresden, October 18, 2010, Germany
(165) 122 GHz ISM-Band Transceiver Concept
and Silicon ICs for Low-Cost Receiver in
SiGe BiCMOS
K. Schmalz, W. Winkler, J. Borngäber,
W. Debski, B. Heinemann, J.C. Scheytt
IEEE International Microwave Symposium
(IMS 2010), Anaheim, May 23 - 28, 2010, USA
(161) RF Bandpass Delta-Sigma Modulators for
Highly-Efficient Class-S Transmitters in
SiGe BiCMOS Technology
J.C. Scheytt, P. Ostrovskyy, H. Gustat
IEEE International Conference on Wireless
Information Technology and Systems (ICWIT
2010), Honolulu, August 28 - September 03,
2010, USA
(166) Novel Imaging Techniques for
Dislocation-Related D1-PhotoLuminescence of Multicrystalline
Si Wafers – Two Different Approaches
R.P. Schmid, D. Mankovics, T. Arguirov,
T. Mchedlidze, M. Ratzke, M. Kittler
Workshop Photovoltaik, Cottbus,
September 24, 2010, Germany
(162) SiGe BiCMOS Circuits for High-Frequency
Communications and Sensing Applications
J.C. Scheytt, S. Glisic, P. Ostrovskyy, H. Gustat,
K. Schmalz, J. Borngräber, S.A. Osmany,
F. Herzel, B. Heinemann, H. Rücker, D. Knoll,
B. Tillack
Silicon Monolithic Integrated Circuits in RF
Systems (SiRF 2010), New Orleans,
January 11 - 13, 2010, USA
(167) Novel Imaging Techniques for
Dislocation-Related D1-PhotoLuminescence of Multicrystalline
Si Wafers – Two Different Approaches
R.P. Schmid, D. Mankovics, T. Arguirov,
T. Mchedlidze, M. Kittler
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
An n ual
R e p or t
2 01 0
VO R T RÄG E
–
(168) Ultrafast Transformation of Silicon
Surfaces - Direct Observation of the
Refractive Index Change in Surface
Second-Harmonic Pump-Probe Experiments
R.P. Schmid, A. Klossek, J. Reif
E-MRS Spring Meeting, Strasbourg,
June 07 - 11, 2010, France
(169) Fault-Tolerant ASIC Design for High System
Reliability
G. Schoof, M. Methfessel, R. Kraemer
Smart Systems Integration 2010, Como,
March 23 - 24, 2010, Italy
(170) High ASIC Reliability by Using
Fault-Tolerant Design Techniques
G. Schoof, M. Methfessel, R. Kraemer
The 2nd Workshop on Design for Reliability
(DFR‘10), Pisa, January 24, 2010, Italy
(171) An All-Digital Phase-Locked Loop with
High Resolution for Local On-Chip Clock
Synthesis
O. Schrape, E. Grass, M. Petri, St. Zeidler,
U. Jagdhold, F. Winkler
International Workshop on Power and Timing
Modeling, Optimization and Simulation
(PATMOS 2010), Grenoble,
September 08 - 10, 2010, France
(172) A 12 Bit High Speed Broad Band Low Power
Digital to Analog Converter with Hidden
Internal Calibration for Satellite
Telecommunications
K. Schrödinger, H. Gustat, A. Stanitzki,
G. Grau, J. Zhu, H.-V. Heyer, J.C. Scheytt,
L. Hili, P. Piironen, H. Kemper, O. Martinsson
Microwave Technology & Techniques
Workshop, Leiden, May 10 - 12, 2010,
The Netherlands
P resentations
(173) Crystallographic Structure and Band
Alignment of Thin Lattice Matched
Epi-Si(111)/PrYO3 Heterostructures
Analyzed by Synchrotron Radiation
O. Seifarth, A. Giussani, P. Zaumseil,
D. Schmeißer, T. Schroeder
SNI 2010, Berlin, February 24 - 26, 2010,
Germany
(174) Lattice Matched and Strain Engineered
Epitaxial Si Films on Tailoring Single
Crystalline Pr2-xYxO3 / Si(111) Support
Systems
O. Seifarth, B. Dietrich, A. Giussani,
P. Zaumseil, T. Schroeder
MRS Fall Meeting, 2010, Boston,
November 29 - December 03, 2010, USA
(175) Diagnostik / Materialforschung an
Solarsilizium
W. Seifert, M. Kittler
9. Solarmeeting, Potsdam, May 26, 2010,
Germany
(176) Synchrotronmikroskopie und -spektroskopie
zur Analyse von Solarsilizium
W. Seifert, O. Vyvenko, T. Arguirov, M. Trushin,
M. Kittler
Workshop Photovoltaik, Energiewoche BTU
Cottbus, Cottbus, September 24, 2010,
Germany
(177) Verunreinigungs- und Defektdiagnostik
an multikristallinem Si-Blockmaterial
W. Seifert
Statusseminar Avantsolar, Potsdam,
September 10, 2010, Germany
(178) In-Situ Solid-Phase Epitaxy of Amorphous
Silicon Deposited by RPCVD
O. Skibitzki, Y. Yamamoto, M.A. Schubert,
B. Tillack
ASM User Meeting, Munich, October 23, 2010,
Germany
Annual
Repo r t
201 0
137
VO R T RÄG E
–
(179) Solid-Phase Crystallization of Amorphous
Silicon Films by in-situ Post Annealing
using RPCVD
O. Skibitzki, Y. Yamamoto, K. Köpke,
A. Schubert, G. Weidner, B. Heinemann,
B. Tillack
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(180) Solid-Phase Epitaxy of Amorphous Silicon
by in-situ Postannealing using RPCVD
O. Skibitzki, Y. Yamamoto, K. Köpke,
M.A. Schubert, G. Weidner, B. Tillack
The International SiGe Technology and Device
Meeting (ISTDM 2010), Kista,
May 24 - 26, 2010, Sweden
(185) DUV-Technologie zur Herstellung von
Bragg-Gittern auf Silizium-Rippenwellenleitern
D. Stolarek, A. Bauer, L. Zimmermann,
U. Haak, K. Schulz, H. Beyer, E. Matthus
Internationaler Kongress – 50 Jahre Laser,
Berlin, March 23, 2010, Germany
(186) A Low-Power 60 GHz Front-End with
Variable Gain LNA in SiGe BiCMOS
Y. Sun, J.C. Scheytt
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2010), Austin,
October 04 - 06, 2010, USA
(181) ShortECC: a Lightweight Security Approach
for Wireless Sensor Networks
A. Sojka, K. Piotrowski, P. Langendörfer
International Conference on Security and
Cryptography (SECRYPT 2010), Athens,
July 26 - 28, 2010, Greece
(187) Novel Buffer Approach for GaN Integration
on Si(111) Platform Through Single
Sc2O3 / Y2O3 Buffer Layers
L. Tarnawska, A. Giussani, P. Zaumseil,
M.A. Schubert, P. Storck, T. Schroeder
16th International Conference on Molecular
Beam Epitaxy, Berlin, August 22 - 27, 2010,
Germany
(182) Integrated Si-LDMOS Transistors for
11 GHz X-Band Power Amplifier
Applications
R. Sorge, A. Fischer, A. Mai, P. Schley,
J. Schmidt, Ch. Wipf, R. Pliquett, R. Barth
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2010), Austin,
October 04 - 06, 2010, USA
(188) Synchrotron based X-Ray Diffraction Study
of GaN / Oxide / Si(111) Heterostructure
L. Tarnawska, A. Giussani, P. Zaumseil,
M. Wosko, R. Paszkiewicz, T. Schroeder
German Conference for Research with
Synchrotron Radiation, Neutrons and Ion
Beams at Large Facilities (SNI 2010), Berlin,
February 24 - 26, 2010, Germany
(183) Baseband Processor for RF-MIMO WLAN
Z. Stamenkovic
DAAD Workshop on Embedded System Design,
Nis, July 05- 09, 2010, Serbia
(189) A New Lab-on-Chip Transmitter for the
Detection of Proteins Using RNA Aptamers
F. Tasdemir, S. Zihir, E. Ozeren, J.H. Niazi,
A. Qureshi, S.S. Kallempudi, M. Kaynak,
R. Scholz, Y. Gurbuz
40th European Microwave Conference, Paris,
September 26 - October 01, 2010, France
(184) Systemdesign einer sicheren und
drahtlosen Programmierschnittstelle für
Mikrocontroller unter Verwendung von
RFID-Technologien und integrierter
Kryptokerne
O. Stecklina, O. Krause, T. Basmer
Wireless Technologies Congress 2010,
Bochum, September 22, 2010, Germany
138
P resentations
An n ual
R e p or t
2 01 0
VO R T RÄG E
–
P resentations
(190) MAC Hardware Platform for RF-MIMO WLAN
K. Tittelbach-Helmrich, E. Miletic, P. Wcislek,
Z. Stamenkovic
53rd IEEE International Midwest Symposium
on Circuits and Systems, Seattle, August 01
- 04, 2010, USA
(195) CMOS Compatible TiN / HfO2 / TiN MIM
Devices for Future RRAM Applications
Ch. Walczyk, T. Schroeder, M. Lukosius, M.
Fraschke, A. Fox, D. Wolansky, B. Tillack
2nd IEEE International Memory Workshop
(IMW), Seoul, May 16 - 19, 2010, Korea
(191) Electrical Characterization of Silicon Wafer
Bonding Interfaces by Means of Voltage
Dependent Light Beam and Electron Beam
Induced Current and Capaciatnce of
Schottky Diodes
M. Trushin, O. Vyvenko, T. Mchedlidze,
M. Reiche, M. Kittler
10th International Workshop on Beam
Injection Assessment of Microstructures in
Semiconductors (BIAMS 2010), Halle,
July 04 - 08, 2010, Germany
(196) CMOS Compatible TiN / HfO2 / TiN MIM
Devices for Future RRAM Applications
Ch. Walczyk, T. Schroeder, M. Lukosius,
D. Walczyk, M. Fraschke, A. Fox, D. Wolansky,
B. Tillack, Ch. Wenger
16th Workshop on Dielectrics in
Microelectronics (WODIM 2010), Bratislava,
June 28 - 30, 2010, Slovakia
(192) Electronic States and Luminescence of
Dislocation Networks produced by Direct
Bonding of Silicon Wafers
M. Trushin, O. Vyvenko, T. Mchedlidze,
M. Kittler
47. Point Defect Meeting, Dresden,
October 07 - 08, 2010, Germany
(193) Giant Poole-Frenkel Effect for the Shallow
Dislocation-Related Hole Traps in Silicon
M. Trushin, O. Vyvenko, V. Vdovin, M. Kittler
Extended Defects in Semiconductors (EDS
2010), Brighton, September 19 - 24, 2010, UK
(194) Bipolar Resistive Switching Characteristics
of HfO2 Based MIM Devices
Ch. Walczyk, D. Walczyk, T. Schroeder,
M. Lukosius, M. Fraschke, E. Miranda,
B. Tillack, Ch. Wenger
41st IEEE Semiconductor Interface Specialists
Conference (SISC 2010), San Diego,
December 02 - 04, 2010, USA
(197) Resistive Switching in TiN / HfO2 / Ti / TiN
MIM Structures for Future Memory
Applications
Ch. Walczyk, Ch. Wenger, M. Lukosius,
M. Fraschke, I. Costina, S. Schulze, S. Thiess,
W. Drube, T. Schroeder
DPG-Frühjahrstagung 2010, Regensburg,
March 21 - 26, 2010, Germany
(198) An on-board Differential Bunny – Ear
Antenna Design for 60 GHz Applications
R. Wang, Y. Sun, J.C. Scheytt
5th German Microwave Conference 2010
(GeMiC 2010), Berlin, March 15 - 17, 2010,
Germany
(199) Effect of the Composition on the Bandgap
Width of the High-k MeTiOx (Me=Sr, Ta, Hf)
W.C. Wang, M. Badylevich, V.V. Afanas‘ev,
A. Stesmans, K. Tomida, N. Menou, J.A. Kittl,
M. Lukosius, Ch. Walczyk, Ch. Wenger
E-MRS 2010, Strasbourg, June 07 - 10, 2010,
France
(200) Bipolar Resistive Switching Characteristics
of HfO2 Based 1T-1R Cells
Ch. Wenger, Ch. Walczyk, D. Walczyk,
M. Lukosius, M. Fraschke, T. Schroeder
Nanoelectronics Days, Aachen,
October 04 - 07, 2010, Germany
Annual
Repo r t
201 0
139
VO R T RÄG E
–
(201) Functionalized Back-End Devices for
(Bi)CMOS Circuits
Ch. Wenger, Ch. Walczyk, M. Lukosius,
D. Wolansky, P.V. Santos
218th Electrochemical Society Meeting,
Las Vegas, October 10 - 15, 2010, USA
(202) Max-Caps – Materials for Next Generation
Capacitors and Memories
Ch. Wenger, M. Lukosius, C. Baristiran Kaynak
European Nanoelectronics Forum 2010,
Madrid, November 16 - 17, 2010, Spain
(203) LNA and Mixer for 122 GHz Receiver in
SiGe Technology
W. Winkler, W. Debski, K. Schmalz,
J. Borngräber, J.C. Scheytt
European Microwave Week (EuMW 2010),
Paris, September 26 - October 01, 2010, France
(204) Benchmarking of WSN Solutions
A.C. Wolf, M. Mahlig
Wireless Congress 2010, Munich,
November 10 - 11, 2010, Germany
(205) Benchmarking of WSN Solutions and IEEE
802.15.4-2006 PSSS based Solutions
A.C. Wolf, M. Mahlig
9. GI/ITG KuVS Fachgespräch Sensornetze,
Würzburg, September 16 - 17, 2010, Germany
(206) Establishing an ASIC Test Infrastructure in
the Context of a Research Institute
Ch. Wolf, St. Zeidler, R. Kraemer
IEEE European Test Symposium, Prague,
May 24 - 28, 2010, Czech Republic
(207) Structure and Morphology of MBE Grown
Ge on Insulator Heterostructures
J. Wollschläger, M. Suendorf, C.R. Wang,
K.R. Hofmann, A. Giussani, T. Schroeder,
C. Deiter
The 11th International Conference on Surface
X-Ray and Neutron Scattering (SXNS-11),
Chicago, July 13 - 17, 2010, USA
140
An n ual
R e p or t
2 01 0
P resentations
(208) Atomic Level Control of B Doping in Ge
Y. Yamamoto, R. Kurps, J. Murota, B. Tillack
5th International Workshop on New Group
IV Semiconductor Nanoelectronics, Sendai,
January 28 - 30, 2010, Japan
(209) Low Threading Dislocation Density Ge
Deposited on Si(100) Using RPCVD
Y. Yamamoto, K. Köpke, P. Zaumseil,
T. Arguirov, M. Kittler, B. Tillack
International SiGe Technology and Device
Meeting (ISTDM 2010), Kista,
May 24 - 26, 2010, Sweden
(210) Low Threading Dislocation Density Ge
Deposition by Alternate Growth and
Annealing
Y. Yamamoto, P. Zaumseil, B. Tillack
ASM User Meeting, Munich,
September 23, 2010, Germany
(211) Phosphorus Atomic Layer Doping in
Si Using PH3
Y. Yamamoto, K. Köpke, J. Murota, B. Tillack
218th Electrochemical Society Meeting SiGe,
Ge and Related Compounds, Processing and
Devices Symposium, Las Vegas,
October 10 - 25, 2010, USA
(212) Perfektion von Halbleiter-MetalloxydHalbleiter-Heterostrukturen analysiert
durch Synchrotron-GID-Messungen
P. Zaumseil, A. Giussani, T. Schroeder
Deutsche Tagung für Forschung mit
Synchrotronstrahlung, Neutronen und
Ionenstrahlen an Großgeräten (SNI 2010),
Berlin, February 24 - 26, 2010, Germany
(213) On-line Protocol Checking by Invariants
St. Zeidler, A. Bystrov, R. Kraemer
Impact of Low-Power Design on Test and
Reliability (LPonTR), Prague,
May 27 - 28, 2010, Czech Republic
B erichte
(214) On-line Testing of Bundled-Data
Asynchronous Handshake Protocols
St. Zeidler, A. Bystrov, M. Krstic, R. Kraemer
IEEE International On-Line Test Symposium
2010, Corfu, July 05 - 07, 2010, Greece
(215) Packaging of SOI Motherboards for
Highspeed all Optical Router Applications
L. Zimmermann, K. Voigt, G. Winzer,
K. Landles, J. Lynn, S. Duffy
7th International Conference on Group IV
Photonics, Peking, September 01 - 03, 2010,
China
(216) Graphene Growth on Catalytic Insulator
M. Zöllner, G. Lippert, O. Seifarth,
T. Schroeder, G. Lupina
Graphene Electronics - Material, Physics and
Devices, Bad Honnef, August 15 - 18, 2010,
Germany
(217) A 325 GHz Frequency Multiplier Chain
in a SiGe HBT Technology
E. Öjefors, B. Heinemann, U.R. Pfeiffer
IEEE 2010 International Microwave
Symposium (MTT), RFIC Symposium 2010,
Anaheim, May 23 - 25, 2010, USA
–
R eports
Berichte
Reports
(1) Systemarchitektur eines intelligenten
Sensor-Implantats für das kontinuierliche
Glucose-Monitoring bei Diabetes
T. Basmer, P. Kulse, M. Birkholz
Project Milestone Report Glucoplant (2010)
(2) Integration of Gallium Nitride Thin Film
Substrates on the Si Platform via Oxide
Heterostructure Buffers
A. Giussani, L. Tarnawska, P. Zaumseil,
M.A. Schubert, T. Schroeder
Siltronic-IHP Study, Activity Report July 2010
(3) Basic Research on Advanced Silicon Wafers
G. Kissinger, D. Kot, J. Dabrowski,
V.D. Akhmetov
Intermediate Report June 2010
(4) Basic Research on Advanced Silicon Wafers
G. Kissinger, D. Kot, J. Dabrowski,
V.D. Akhmetov
Final Report December 2010
(5) Charakterisierung der Wechselwirkung
zwischen Defekten und ihres Einflusses
auf die elektrischen Eigenschaften unter
besonderer Berücksichtigung der
Synchrotron-Mikroskopie
M. Kittler, J. Carstensen, W. Seifert
Final Report Project FKZ 0327650 A, July 2010
(6) Decision about Feasibility of IDS
Implementation on State of the Art
Sensor Nodes
P. Langendörfer, St. Peter, O. Stecklina
WSAN4CIP Report 2010
(7) Projekt Six-Port on Silicon
B. Lämmle
Abschlussbericht 12/2010
Annual
Repo r t
201 0
141
M onographien
(8) WSAN4CIP - Deliverable D1.4 - Tools and
Methods for Systematic WSAN Engineering
St. Peter, K. Piotrowski, R. Winkler, R. Nunes,
P. Pereira, L. Riliskis, E. Osipov
Project Deliverable 2010
(9) Single Crystalline Si, Ge, and GaN Layers
Integrated on the Si Platform via Oxide
Heterostructures
T. Schroeder, P. Storck
Final Report of the Siltronic-IHP Technology
Project “Heteroepitaxy” of 3rd R & D Contract
2008 - 2009
(10) Abschlussbericht Trusted Sensor Node
F. Vater, P. Langendörfer, T. Basmer,
O. Stecklina
Final Project Report 2010
(11) User Manual Trusted Sensor Node
F. Vater, P. Langendörfer, T. Basmer,
O. Stecklina
Final Project Report 2010
–
M onographs
Monographien
Monographs
(1) X-ray Techniques for Advanced Materials,
Nanostructures and Thin Films: from
Laboratory Sources to Synchrotron
Radiation
F. Boscherini, M. Birkholz, J.-Y. Buffière,
D. Chateigner, P.F. Fewster, St. Heun
Proceedings of the EMRS 2009 Spring
Meeting – Symposium R, Elsevier, Nuclear
Instruments & Methods in Physics Research
268(3-4), (2010)
(2) Improvements in Pausible Clocking Scheme
for High-Throughput and High-Reliability
GALS Systems Design
X. Fan, M. Krstic, E. Grass
Springer Monograph on Logic Synthesis
(2010)
(3) SiGe, Ge and Related Compounds 4:
Materials, Processing, and Devices
D. Harame, M. Caymax, S. Koester, S. Miyazaki,
K. Rim, B. Tillack, J. Boquet, J. Cressler,
G. Masini, A. Reznicek, S. Takagi (Eds.)
Pennington: Electrochemical Society, ECS
Transactions ; 33(6), 1034 pages (2010)
(4) Gettering and Defect Engineering in
Semiconductor Technology XIII, (GADEST
2009), Proc. of the 13th International
Autumn Meeting, Sept. 26 - Oct. 02, 2009,
Döllnsee, Germany
M. Kittler, H. Richter (Eds.)
Solid State Phenomena 156 - 158 (2010)
142
An n ual
R e p or t
2 01 0
Dissertationen / Diplomarbeiten
Habilitationen / Dissertationen
Habilitations / Dissertations
(1) Germanium Thin Film Integration on Silicon
Substrates via Oxide Heterostructure
Buffers
A. Giussani
Dissertation, University of Osnabrück (2010)
(2) Design of Fully Integrated 60 GHz OFDM
Transmitter in SiGe BiCMOS Technology
S. Glisic
Dissertation, BTU Cottbus (2010)
(3) Atomic Vapor Deposition of Metal Insulator
Metal Capacitors: Investigation,
Development and Integration
M. Lukosius
Dissertation, University Oldenburg (2010)
(4) Design and Realization of Privacy
Guaranteeing Means for Context-sensitive
Systems
M. Maaser
Dissertation, BTU Cottbus (2010)
(5) Integration von LDMOS-Transistoren für
Hochfrequenzanwendungen in eine
0.13 µm-SiGe:C-BiCMOS Technologie
A. Mai
Dissertation, BTU Cottbus (2010)
(6) Definition and Configuration of Reliable
Event Detection for Application in Wireless
Sensor Networks
St. Ortmann
Dissertation, BTU Cottbus (2010)
(7) Wideband OFDM System for Indoor
Communication at 60 GHz
M. Piz
Dissertation, BTU Cottbus (2010)
–
Dissertations / Dipolma
T heses
(8) Herstellung und Charakterisierung dünner
Praseodymoxid-Schichten auf SiliziumSubstraten als Modellsystem für die
Katalyse und Mikroelektronik
A. Schaefer
Dissertation, Universität Bremen (2010)
Diplomarbeiten / Masterarbeiten / Bachelorarbeiten
Diploma Theses / Master Theses / Bachelor
Theses
(1) Entwurf eines Analog-Digital-Converters
in Sukzessive-Approximation Architektur
M. Ayari
Diplomarbeit, FH Brandenburg (2010)
(2) Untersuchung und Modellierung der
Degradation von SiGe:C
Heterobipolartransistoren
M. Bathe-Peters
Bachelorarbeit, TU Berlin (2010)
(3) Konzipierung, Aufbau und Test einer
Reaktionskammer zur Abscheidung von
Kohlenstofffunktionsschichten
D. Biniok
Diplomarbeit, TH Wildau (2010)
(4) Technologische Entwicklung von
Silicium-Rippenwellenleitern mit
4 µm Höhe
R. Eisermann
Diplomarbeit, FH Brandenburg (2010)
(5) Strategien, Mechanismen und
Schnittstellen für die persistente
Datenspeicherverwaltung auf Sensorknoten
St. Hammer
Diplomarbeit, BTU Cottbus (2010)
(6) Kombination von Directed Diffusion und
Rumor Routing
A. Hellwig
Diplomarbeit, BTU Cottbus (2010)
Annual
Repo r t
201 0
143
Diplomarbeiten
(7) Herstellung und Charakterisierung von
Oxinitridschichten mittels BTBAS
A. Hesse
Diplomarbeit, TH Wildau, (2010)
(8) Elektrische und analytische
Charakterisierung von Bor-dotierten
epitaktischen Germaniumschichten
B. Knappe
Diplomarbeit, TU Berlin (2010)
(9) Kantenrauhigkeit und Dämpfung von
Silizium-Nano-Wellenleitern
A. Knopf
Diplomarbeit, TH Wildau (2010)
(10) Development of a Bluetooth-Repeater
P. Kornecki
Masterarbeit, West Pomeranian University of
Technology, Szczecin, Poland (2010)
(11) Charakterisierung von GaN für LED‘s
gewachsen auf Si- und Al2O3-Substraten
unter Verwendung von Pufferschichten
Ch. Krause
Diplomarbeit, BTU Cottbus (2010)
(12) Dynamische Charakterisierung von
integrierten RF-MEMS Schaltern mittels
optischer Methoden
Ch. Krause
Diplomarbeit, Fachhochschule Brandenburg
(2010)
(13) Entwicklung einer drahtlosen
Authentifizierungs- und Updatekomponente
für die Debugschnittstelle von
Mikrocontrollern
O. Krause
Diplomarbeit, BTU Cottbus (2010)
(14) Analytische Charakterisierung von
Halbleiter-Heterostrukturen
Ch. Mai
Bachelorarbeit, BTU Cottbus (2010)
144
An n ual
R e p or t
2 01 0
–
Dipolma
T heses
(15) Evaluierung und Implementierung von
Konzepten für eine Sensorknotenspezifische Separierung von Ressourcen
H. Menzel
Masterarbeit, BTU Cottbus (2010)
(16) Untersuchung von Umsetzungsmöglichkeiten zur Darstellung von
Multimediadiensten mit Hilfe eines
Mobiltelefons im Fahrzeug
S. Mergl
Masterarbeit, BTU Cottbus (2010)
(17) Beiträge zur Chartakterisierung des
Bosch-Prozesses am Beispiel der
trockenchemischen Strukturierung von
Through Silicon Vias
T. Milewski
Bachelorarbeit, TU Berlin (2010)
(18) Investigation of an Embedded Flash
Controller
P. Skoncej
Diplomarbeit, West Pomeranian University of
Technology, Szczecin, Poland (2010)
(19) Design of a Hardware Accelerator
Component for MIMAX WLAN
P. Wcislek
Masterarbeit, West Pomeranian University of
Technology, Szczecin, Poland (2010)
(20) Integrated Digitally Controlled Phase
Shifter in Silicon Technology for mm-Wave
Beam Forming Applications
J. Wessel
Diplomarbeit, Ruhr-Universität Bochum
(2010)
patente
Patente
Patents
(1) Elektronische Schaltungsanordnung zum
Verarbeiten von binären Eingabewerten
(Fehlertolerante Schaltungsarchitektur
mit reduziertem Flächenaufwand)
M. Augustin, M. Gössel, R. Kraemer
DE-Patentanmeldung am 29.01.2010,
AZ: DE 10 2010 006 383.5
(2) Protokollbeschleunigermodul mit
Paketweiterleitungsfunktion und
Betriebsverfahren für einen Senderempfänger zur schnellen Weiterleitung
von Datenpaketen
D. Dietterle, P. Langendörfer
IHP.324.09 PCT-Patentanmeldung am
16.03.2010, AZ: PCT/EP2010/053388
(3) Unifizierter Multiplizierer für die
Galois-Körper GF(2n) und GF(p)
Z. Dyka, P. Langendörfer
IHP.352.10, DE-Patentanmeldung am
16.11.2010, AZ: 10 2010 043 993.2
–
patents
(8) Thermoelektrisches Halbleiterbauelement
M. Kittler, M. Reiche
IHP.334.09 PCT-Patentanmeldung am:
12.01.2010, AZ: PCT/EP2010/050302
(9) Integration von hochbeweglichem Graphen
G. Lippert, O. Seifarth, G. Lupina, M. Zöllner,
T. Schroeder
IHP.353.10, EP-Patentanmeldung
(Erstanmeldung), am 29.06.2010,
AZ: 10 167 703.7
(10) Verfahren und Vorrichtung zur
Phasen- und/oder Pulsweitenmodulation
J.C. Scheytt, H. Gustat
IHP.355.10, DE-Patentanmeldung am
14.06.2010, AZ: 10 2010 030 031.4
(11) Prüfschaltung zur Prüfung einer
Durchführung eines Handshake-Protokolls
und Verfahren zur Prüfung einer
Durchführung eines Handshake-Protokolls
St. Zeidler
IHP.337.08 PCT-Patentanmeldung,
am 15.01.2010, AZ: PCT WO/2010089179A1
(4) Masken für die Herstellung eines MEMS
M. Kaynak, B. Tillack, R. Scholz
IHP.354.10, DE-Patentanmeldung,
AZ: DE 10 2010 003 928.4
(5) RFMEMS switch in Standard CMOS Process
M. Kaynak, K.-E. Ehwald, M. Birkholz,
R. Scholz, B. Tillack
IHP.346.09, PCT-Anmeldung am 07.12.2010,
AZ PCT/EP2010/069019
(6) Verkapselung eines BiCMOS kompatiblen
RFMEMS Schalters
M. Kaynak, K.-E. Ehwald, R. Scholz, B. Tillack
IHP.351.10 DE-Patentanmeldung am
30.03.2010, AZ: 10 2010 003 488.6
(7) G. Kissinger, D. Kot, D. von Ammon
DE-Patentanmeldung über Siltronic AG, am:
11.08.2010, AZ: 102010034002.2
Annual
Repo r t
201 0
145
A ngebote
un d
L eistungen
–
Deliverables
an d
S ervices
Deliverables and Services
146
An n ual
R e p or t
2 01 0
A ngebote
un d
L eistungen
–
Deliverables
an d
S ervices
Multiprojekt Wafer (MPW) und
Prototyping Service
Multiproject Wafer (MPW) and
Prototyping Service
Das IHP bietet seinen Forschungspartnern und Kunden
Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Technologien.
Die Technologien sind insbesondere für Anwendungen
im oberen GHz-Bereich geeignet, so z.B. für die drahtlose
und Breitbandkommunikation, Radar, glasfasergestützte
Kommunikation und integrierte Photonik. Sie bieten integrierte HBTs mit Grenzfrequenzen bis zu 400 GHz, integrierte RF-MEMS und integrierte HF-LDMOS-Bauelemente
mit Durchbruchspannungen bis zu 80 V einschließlich
komplementärer Bauelemente.
IHP offers research partners and customers access to
its powerful SiGe BiCMOS technologies.
Verfügbar sind folgende SiGe BiCMOS Technologien:
SG25H1: Eine 0,25-µm-BiCMOS-Technologie
mit npn-HBTs bis zu fT / fmax= 180 / 220 GHz.
The following SiGe BiCMOS technologies are available:
SG25H1: A high-performance 0.25 µm BiCMOS with
npn-HBTs up to fT / fmax= 180 / 220 GHz.
SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit
mehreren npn-HBTs, d eren Parameter von
einer hohen HF-Performance
(fT / fmax= 110 / 180 GHz) zu größeren
Durchbruchspannungen bis zu 7 V reichen.
SG25H3: A 0.25 µm BiCMOS with a set of npn-HBTs
ranging from a high RF performance
(fT / fmax= 110 GHz / 180 GHz) to higher
breakdown voltages up to 7 V.
SGB25V: Eine kostengünstige 0,25-µm-BiCMOSTechnologie mit mehreren npn-Transistoren
mit Durchbruchspannungen bis zu 7 V.
SGB25V: A cost-effective 0.25 µm BiCMOS with a set of
npn-HBTs up to a breakdown voltage
of 7 V.
SG13S: Eine 0,13-µm-BiCMOS-HochleistungsTechnologie mit npn-HBTs bis zu
fT / fmax= 250 / 300 GHz mit 3,3 V I / O-CMOS und 1,2 V Logik-CMOS.
SG13S: A high-performance 0.13 µm BiCMOS with
npn-HBTs up to fT / fmax= 250 / 300 GHz, with
3.3 V I / O CMOS and 1.2 V logic CMOS.
SG13G2: Eine 0,13-µm-BiCMOS-HochleistungsTechnologie mit den gleichen Bauelementen
wie SG13S, aber einer wesentlich höheren
Bipolar-Performance mit
fT / fmax = 300 / 400 GHz.
SG13G2: A 0.13 µm BiCMOS technology with the
same device portfolio as SG13S but much
higher bipolar performance with
fT / fmax = 300 / 400 GHz.
Das Backend enthält 3 (SG13: 5) dünne und 2 dicke
Metallebenen (TM1: 2 µm, TM2: 3 µm).
The backend offers 3 (SG13: 5) thin and 2 thick metal
layers (TM1: 2 µm, TM2: 3 µm).
Es finden technologische Durchläufe nach einem festen, unter www.ihp-microelectronics.com verfügbaren
Zeitplan statt.
The schedule for MPW & Prototyping runs is located
at www.ihp-microelectronics.com.
The technologies are especially suited for applications in the higher GHz range (e.g. for wireless, broadband, radar, fiberoptic communication and integrated
photonics). They provide integrated HBTs with cutoff frequencies of up to 400 GHz, integrated RF-MEMS,
and integrated RF LDMOS devices with breakdown voltages up to 80 V, including complementary devices.
Annual
Repo r t
201 0
147
A ngebote
148
un d
L eistungen
–
Deliverables
an d
S ervices
Ein Cadence-basiertes Design-Kit für Mischsignale ist
verfügbar. Wiederverwendbare Schaltungsblöcke und
IPs des IHP für die drahtlose und Breitbandkommunikation werden zur Unterstützung von Designs angeboten.
A cadence-based mixed signal design kit is available.
For high frequency designs an analogue Design Kit in
ADS can be used. IHP’s reusable blocks and IPs are
offered to support designs.
Zusätzliche Module sind für bestimmte SiGe-BiCMOSTechnologien verfügbar:
The following Technology Modules are available:
GD:Zusätzliche integrierte, komplementäre
HF-LDMOS mit nLDMOS bis 22 V , pLDMOS bis
-16 V Durchbruchspannung und einem
isolierten nLDMOS.
(verfügbar in SGB25V)
GD:Additional integrated complementary
RF LDMOS devices with nLDMOS up to 22 V,
pLDMOS up to -16 V breakdown voltage
and an isolated nLDMOS device.
(available in SGB25V)
HVLDMOS: Z usätzliche integrierte nLDMOS mit 80 V
Durchbruchspannung.
( verfügbar in SG25H1 und SG25H3)
HVLDMOS:Additional integrated nLDMOS with 80 V
breakdown voltage.
(available in SG25H1 and SG25H3)
H3P: Zusätzliche pnp-HBTs mit
fT / fmax= 90/120 GHz für komplementäre
Bipolar-Anwendungen.
(verfügbar in SG25H3)
H3P:
Additional pnp-HBTs with
fT / fmax = 90 / 120 GHz for complementary
bipolar applications.
(available in SG25H3)
RF-MEMS-Schalter:
Zusätzliche kapazitive MEMS-Schalter für
Frequenzen zwischen 30 GHz und 100 GHz.
(verfügbar in SG25H1 und SG25H3)
RF-MEMS Switch:
Additional capacitive MEMS switch devices
for frequencies between 30 GHz and 100 GHz.
(available in SG25H1 and SG25H3 technology)
LBE: Das Modul für lokales Rückseitenätzen (LBE)
wird angeboten zur Verbesserung der
passiven Performance durch lokales
Entfernen von Silizium.
(verfügbar in allen Technologien).
LBE: The Localized Backside Etching (LBE)
module is offered to remove silicon locally
to improve passive performance.
(available in all technologies)
An n ual
R e p or t
2 01 0
A ngebote
un d
L eistungen
Die wesentlichen Parameter der Technologien sind:
Bipolar Section
–
Deliverables
an d
S ervices
Technical key-parameters of the technologies are:
SG25H1 npn1npn2
AE0.21 x 0.84 µm20.18 x 0.84 µm2
Peak fmax 190 GHz220 GHz
Peak fT 190 GHz180 GHz
BVCE0 1.9 V 1.9 V
BVCBO 4.5 V 4.5 V
VA 40 V 40 V
β 270 260
SG25H3 High Medium High pnp
Performance1 Voltage VoltageH3P Module
AE0.22 x 0.84 µm2 0.22 x 2.24 µm20.22 x 2.24 µm20.21 x 0.84 µm2
Peak fmax180 GHz140 GHz80 GHz 120 GHz
Peak fT110 GHz45 GHz30 GHz 90 GHz
BVCE0 2.3 V 5 V > 7 V - 2.5 V
BVCBO 6.0 V15.5 V21.0 V - 4.0 V
VA 30 V 30 V 30 V
30 V
β 150 150 150
100
SGB25V High Standard
Performance High
Voltage
AE0.42 x 0.84 µm20.42 x 0.84 µm20.42 x 0.84 µm2
Peak fmax95 GHz90 GHz 70 GHz
Peak fT75 GHz45 GHz 25 GHz
BVCEO 2.4 V 4.0 V
7.0 V
BVCBO > 7 V > 15 V > 20 V
VA > 50 V> 80 V > 100 V
β 190 190
190
SG13Snpn13Pnpn13V
AE0.12 x 0.48 µm20.18 x 1.02 µm2
Peak fmax 300 GHz120 GHz
Peak fT 250 GHz45 GHz
BVCE0 1.7 V 3.7 V
BVCBO 5.0 V 16 V
β 900 600
Annual
Repo r t
201 0
149
A ngebote
un d
L eistungen
–
Deliverables
an d
S ervices
CMOS Section
SG25H1 / H3*SG13S
Core Supply Voltage 2.5 V 3.3 V
1.2 V
nMOS Vth 0.6 V0.65 V 0.49 V
Iout**540 µA / µm520 µA / µm 500 µA / µm
Ioff3 pA / µm10 pA / µm 500 pA / µm
pMOS Vth- 0.56 V- 0.61 V - 0.42 V
Iout- 230 µA / µm- 220 µA / µm- 210 µA / µm
Ioff - 3 pA / µm- 10 pA / µm- 500 pA / µm
*: Parameters for SGB25V are similar
**: @VG = 2.5 V
***: Parameters for SG13G2 have to be defined
Passive Section
SG25H1 / H3*SGB25V
SG13S
MIM Capacitor1 fF / µm2 1 fF / µm2 1.5 fF / µm2
N+Poly Resistor210 Ω / 2 10 Ω / +
P Poly Resistor280 Ω / 3 10 Ω / 250 Ω / High Poly Resistor1600 Ω / 2 000 Ω / 1300 Ω / Varactor Cmax / Cmin 3 tbd.
tbd.
Inductor Q@5 GHz18 (1 nH)18 (1 nH) 18 (1 nH)
Inductor Q@10 GHz20 (1 nH)20 (1 nH) 20 (1 nH)
Inductor Q@5 GHz37 (1 nH)*37 (1 nH)* 37 (1 nH)*
*: with LBE
150
An n ual
R e p or t
2 01 0
A ngebote
un d
L eistungen
–
Deliverables
an d
S ervices
GD Module
nLDMOS pLDMOS
NLD2GD22C iNLD2GD13A **** PLD2G19B
BVDSS* 22 V
15 V - 16 V
VTH0.55 V
0.6 V - 0.5 V
IOUT**175 µA / µm 200 µA / µm - 70 µA / µm
RON4 Ωmm 4 Ωmm 12 Ωmm
Peak fmax***52 GHz50 GHz 30 GHz
Peak fT***20 GHz 28 GHz 9 GHz
*:@ 50 pA/µm ** :@ VG= 1.5 V ***:@ VDS = 4 V ****: substrate isolated
HVLDMOS Module
RF-MEMS Switch Module
NLD2GD80B
Actuation Voltage 25 V
Con / Coff > 10
Switch Time< 10 µs
Temperature-30…+125 °C
Isolation*< 20 dB
Insertion Loss*< 1 dB
Continuous Power Handling13 dB
*@ 60 GHz
BVDSS* 75 V
VTH0.55 V
IOUT*170 µA / µm
RON12 Ωmm
Peak fmax27 GHz
Peak fT9 GHz
* : @ VG = 1.5 V
Annual
Repo r t
201 0
151
A ngebote
152
un d
L eistungen
–
Deliverables
an d
S ervices
Design Kits
Available Analog and Digital Blocks and Designs
The design kits support a Cadence mixed signal platform:
- Design Framework II (Cadence 5.14 / 6.1)
- Behavioral Modeling (Verilog HDL)
-Logic Synthesis & Optimization
(VHDL / HDL Compiler, Design Compiler / Synopsys, Power Compiler / Synopsys)
-Test Generation / Synthesizer / Test Compiler
(Synopsys)
- Simulation (RF: SpectreRF, Analog: SpectreS,
Behavioral / Digital: Leapfrog / NC-Affirma / Verilog-XL / ModelSim)
-Place & Route (Silicon Ensemble & Preview)
-Layout (Virtuoso Editor-Cadence)
- Verification (Diva and Assura: DRC / LVS / Extract / Parasitic Extraction)
- ADS-support via Golden Gate / RFIC dynamic link
to Cadence is available
- Standalone ADS Kit including Momentum
substrate layer file
- Sonnet support for all design kits
- Support of Analog Office, TexEDA, and Tanner
via partners is available
-ECL library for SGB25V
- Radiation hard CMOS library for SGB25V
To support designs, IHP offers a wide range of blocks
and designs for wireless & broadband solutions:
- Integrated 122 GHz Receiver
- 77-81 GHz SiGe radar frontend and frontend
components
- Complete 60 GHz transceiver and components in
SiGe BiCMOS
- 60 GHz beamforming components and
integrated frontends
- 60 GHz channel sounder module
- 60 GHz planar PCB-integrated antenna
- 40 to 70 GHz analog and digital phase shifters
- 24 GHz SiGe mixers, VCOs, Prescaler, VCO-Prescaler
- SiGe DAC components for medium and high speed up
to 30 GSps
-Transimpedance Amplifiers from 20 to 40 Gbps
for fiberoptic communications
- 80 Gbps receiver with Decision Feedback
Equalizer for fiberoptic communications
-Laser-drivers from 20 to 40 Gbps for fiberoptic
communications
- High-Swing modulator (3Vpp, single-ended) for
40 Gbps fiberoptic communications
- SiGe UWB transceiver components such as mixer
correlator, broadband LNA
- Impulse UWB SiGe RF transceiver with localization
capability
- Static resp. dynamic SiGe divider circuits from 0
to 100 GHz
- 5 GHz SiGe broadband modem (bandwidth
200 MHz) for Gbps OFDM
-Low-noise SiGe LC-VCOs in the range between
10 and 120 GHz
- SiGe Integer-N PLLs with integrated low
phase-noise VCO (8-11 GHz, 16-19 GHz,
20-24 GHz, 48 GHz, 56 GHz)
- 0.6-4.4 GHz, 10-14 GHz, and 20–24 GHz fully
integrated frequency synthesizer with low phase
noise (Integer-N and DS-Fractional-N)
- Fractional-N PLL core
- Bandpass-Delta-Sigma modulators for Class-S
amplifiers (450 MHz, 900 MHz, 2 GHz)
- SPI-Interface with area-/power-efficient
calibration DACs (optional)
An n ual
R e p or t
2 01 0
A ngebote
-
-
-
un d
L eistungen
–
Deliverables
an d
S ervices
Transfer of Technologies and Technology Modules
Models, cores & protocols for IEEE 802.11
a / g / p in software & hardware
Designs for baseband processing components
(Viterbi decoder, FFT / IFFT processor,
CORDIC processor)
Design of embedded applications running
on MIPS or LEON processors
IHP offers its 0.25 µm BiCMOS technologies and technology modules (HBT-Modules, LDMOS-Modules) for
transfer. The technological parameters comply to a
large extent with the parameters described above for
MPW & Prototyping.
- IP-core for LEON 2 processor
- Abstract SDL model for IEEE 802.15.3 and
IEEE 802.15.4
- 5 GHz link emulator and WLAN design / debug kit
-TCP / IP-processor including hardware
accelerators f or protocol and symmetric
and asymmetric encryption including MD5
- IP-cores for flexible ECC, AES, SHA1 and MD5
cryptoprocessors
- Baseband-models and realisations for Gigabit WLAN
- Wireless sensor nodes based on MSP430
processor architecture
-EN15878-4 baseband
for metering applications
- IEEE 802.15.4a baseband processor
- Consultancy for MAC protocol design & Gigabit
WLAN systems
- Consultancy for wireless sensor networks and
applications
- Consultancy for fault tolerant design for space
and automotive
Process Module Support
IHP offers support for advanced process modules for
research and development purposes and small volume
prototyping.
Process modules available include:
- Standard processes (implantation, etching,
CMP & deposition of layer stacks such
as thermal SiO2 , PSG, Si3N4 , Al , TiN, W)
- Epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)
- Optical lithography (i-line and 248 nm down
to 100 nm structure size)
- Short-flow processing
-Electrical characterization and testing.
Failure Mode Analysis and Diagnostics
IHP offers support for yield enhancement through failure mode analysis with state-of-the-art equipment,
including AES, AFM, FIB, SEM, SIMS, ToFSIMS and TEM.
For more information please contact:
Dr. Wolfgang Kissinger (General contact)
IHP
Im Technologiepark 25
15236 Frankfurt (Oder), Germany
Email: [email protected]
Tel: +49 335 56 25 410
Fax: +49 335 56 25 222
Dr. René Scholz (MPW & Prototyping contact)
IHP
Im Technologiepark 25
15236 Frankfurt (Oder), Germany
Email : [email protected]
Tel : +49 335 56 25 647
Fax +49 335 56 25 327
Annual
Repo r t
201 0
153
M ens
sana
in
corpore
sano
…
Fußballfreunde des IHP-Fußballturniers im August 2010.
Enthusiastic football players at the IHP football tournament in August 2010.
154
IHP-Mitarbeiterinnen und Mitarbeiter erbringen Spitzenleistungen in Forschung und Entwicklung. Ausgleich
zur täglichen Arbeit finden Sie u.a. bei gemeinsamen
sportlichen und kulturellen Aktivitäten.
IHP staff brings excellence in research and development. As a compensation for daily work one gets to
participate among other things at joint sports and
cultural activities.
August 2010: IHP-Fußballmeisterschaft mit 8 Mannschaften.
August 2010: IHP football championship with the participation
of 8 teams.
Mai 2010: Siegermannschaften der vom IHP organisierten
2. „Frankfurter Kleinfeld-Fußball-Meisterschaft“ mit
12 Mannschaften von Partnerfirmen und Vereinen.
May 2010: The winners of the second “Frankfurt Small Field Football Championship” organized by IHP with 12 teams of its partner
companies and associations.
Mai 2010: „Schweriner Nachtlauf“ mit zwei IHP-Laufstaffeln.
May 2010: “Schwerin night run“ with the participation of two IHP
relay teams.
August 2010: IHP-Volleyballturnier mit vier Mannschaften.
August 2010: IHP Volleyball tournament with the participation of
four teams.
An n ual
R e p or t
2 01 0
2 .
F O TOA U S S T E L L U N G
I M
I H P
–
2
n d
I H P
P H O TO
E X H I B I T I O N
Weltsichten II
2. Fotoausstellung der IHP
Mitarbeiterinnen und Mitarbeiter
07.12. 2010 bis 29.03.2011
C. Andrej, C. Baristiran Kajnak, S. Baudach, J. Domke, R. Dorn, A. Ergintav, H. Frankenfeldt,
D. Genschow, L. Hartmann, T. Mausolf, W. Höppner, R. Horbowski, M. Hossain, G. Kanzler,
M. Khafaji, G. Kissinger, D. Kot / J. Mazur, G. Kozlowski / C. Wurm, A. Krüger, N. Lehmann,
G. Lippert, S. Lischke, M. Methfessel, G. Morgenstern, M. Petri, H. Platzer, A. Prochnau, S. Rohner,
A. Scheit, K.Schlote, H. Silz, T. Skaloud, D. Stolarek, W. Wichmann, D. Wolansky, C. Wolf
Annual
Repo r t
201 0
155
Wegbeschreibung
156
zum
I H P
–
Dir E ctions
to
I H P
Wegbeschreibung zum IHP
Directions to IHP
per Flugzeug
- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis
Bahnhof Berlin-Zoologischer Garten (19 Minuten);
dann mit dem RegionalExpress RE 1 bis Frankfurt
(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).
- Vom Flughafen Berlin-Schönefeld mit dem Airport Express oder der S-Bahnlinie S 9 bis Bahnhof Ber lin-Ostbahnhof (19 bzw. 32 Minuten); dann mit dem
RegionalExpress RE 1 bis Frankfurt (Oder) Haupt bahnhof (ca. 1 Stunde).
per Bahn
- Von den Berliner Bahnhöfen Zoologischer Garten,
Hauptbahnhof, Friedrichstraße, Alexanderplatz
oder Ostbahnhof mit dem RegionalExpress RE 1 bis
Frankfurt (Oder) Hauptbahnhof.
per Auto
- Über den Berliner Ring auf die Autobahn A 12 in Rich tung Frankfurt (Oder) / Warschau; Abfahrt Frankfurt
(Oder)-West, an der Ampel links in Richtung Beeskow
und dem Wegweiser „Technologiepark Ostbranden burg“ folgen.
per Straßenbahn in Frankfurt (Oder)
- Ab Frankfurt(Oder) Hauptbahnhof mit der Linie 3
oder 4 in Richtung Markendorf Ort bis Haltestelle
Technologiepark (14 Minuten).
by plane
- From Berlin-Tegel Airport take the bus X9 to the
railway station Berlin-Zoologischer Garten (19 mi nutes); then take the RegionalExpress RE 1 to Frank furt (Oder) Hauptbahnhof (appr. 1 hour 20 minutes).
- From Berlin-Schönefeld Airport take the Airport-
Express or the S-Bahn line S 9 to the railway
station Berlin Ostbahnhof (19 resp. 32 minutes);
then take the RegionalExpress RE 1 to
Frankfurt (Oder) Hauptbahnhof (appr. 1 hour).
by train
-Take the train RegionalExpress RE 1 from the
Berlin railway stations Zoologischer Garten,
Hauptbahnhof, Friedrichstraße, Alexanderplatz
or Ostbahnhof to Frankfurt (Oder) Hauptbahnhof.
by car
-Take the highway A 12 from Berlin in the direc tion Frankfurt (Oder) / Warschau (Warsaw); take
exit Frankfurt (Oder)-West, at the traffic lights
turn left in the direction Beeskow and follow
the signs to “Technologiepark Ostbrandenburg”.
by tram in Frankfurt (Oder)
-Take the Tram 3 or 4 from railway station Frankfurt
(Oder) Hauptbahnhof in the direction Markendorf
Ort to Technologiepark (14 minutes).
An n ual
R e p or t
2 01 0
impressum
–
imprint
Herausgeber / Publisher
Redaktion / Editors
IHP GmbH – Innovations for High Performance
Microelectronics / Leibniz-Institut für innovative
Mikroelektronik Dr. Wolfgang Kissinger / Heidrun Förster
Postadresse / Postbox
Gesamtherstellung / Production in design and layout
Postfach 1466 / Postbox 1466
15204 Frankfurt (Oder)
Deutschland / Germany
GIRAFFE Werbeagentur
Leipziger Straße 187
15232 Frankfurt (Oder)
Besucheradresse / Address for Visitors
Telefon / Fon +49 335 50 46 46
Telefax / Fax+49 335 50 46 45
Im Technologiepark 25
15236 Frankfurt (Oder)
Deutschland / Germany
[email protected]
Internetwww.giraffe.de
Telefon / Fon +49 335 56 25 0
Telefax / Fax+49 335 56 25 300
Bildnachweise / Photocredits
[email protected]
Internetwww.ihp-microelectronics.com
Agentur GIRAFFE, Winfried Mausolf,
Bernd Geller, IHP
Annual
Repo r t
201 0
157
IHP GmbH – Innovations for
High Performance Microelectronics Leibniz-Institut
für innovative Mikroelektronik
Im Technologiepark 25
15236 Frankfurt (Oder)
Telefon +49 335 5625 0
Fax +49 335 5625 300
[email protected]
www.ihp-microelectronics.com
158
An n ual
R e p or t
2 01 0