University of Minnesota

Transcription

University of Minnesota
Wednesday Conference
Session 4
Intermag/MMM/TMRC
Conference-Related Highlights
Will the Numbers Add Up for
Sub 7 nm Magnetic Spacings?
C. Mathew Mate, Qing Dai, Robert N. Payne,
Bernhard E. Knigge, and Peter Baumgart
Hitachi Global Storage Technologies
Hitachi San Jose Research Center
San Jose, California USA
© 2005 Hitachi Global Storage Technologies
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Head-Media Spacing
How Low Can We Go?
• Magnetic Spacing = Distance from top of media to bottom of head sensor
– As bit density goes up, magnetic spacing must go down
Total Magnetic Spacing (nm)
140
120
100
80
ca. 1995
Historic Trend
for HDD Products:
Magnetic Head Sensors
Spacing ~ 1 / (linear density)
Trailing Edge
of Slider
Magnetic Spacing
Magnetic Medium
60
2003
40
20
0
100
~100 Gb/in2
~200 Gb/in2
( ~ 5-7 nm for 1 Tb/in2 )
250
400
550
700
850
1000
Linear Bit Density (kilobits per inch = kbpi)
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Contributors to the Magnetic Spacing
(Magnetic Spacing = Distance from top of media to bottom of head sensor)
Magnetic Head Sensors
Trailing Edge
of Slider
Head
Overcoat
Clearance
• Static Contributors:
– Sensor recession
– Head overcoat
– Lubricant or
–
–
–
–
contamination on head
Clearance
Disk lubricant
Disk overcoat
Take-off height
© 2005 Hitachi Global Storage Technologies
For current drives
– ~ 100 Gb/in2 Areal Density
– magnetic spacing ~ 16 nm
•
For 1 Tb/in2 Areal Density
– magnetic spacing < 7 nm
Sensor
Recession
Magnetic Spacing
Lubricant
Disk Overcoat
Magnetic Medium
Underlayer
Disk Substrate
•
Current Values (approx.)
1 nm
3 nm
1 nm
3 nm
1 nm
Dynamic and tolerance contributors
4 nm
hidden in these values
3 nm
16 nm total magnetic spacing
C.M. Mate et al., Hitachi San Jose Research Center
Overcoat Thickness Limit
•
10 Å probably represents the thinnest achievable overcoat thickness with good
corrosion protection
– Demonstrated: Silicon Nitride
• B.K. Yen et al., J. Appl. Phys. 93 (2003) 8704
– Proposed: Filtered-Cathodic-arc or Ion-Beam-Deposited (IBD) carbon
• J. Gui, IEEE Trans. Magn. 39 (2003) 716
30
COUNTS (A. U.)
a-SiNx
Co
oxide Co
metal
(b)
a-CNx
Co
oxide
COVERAGE THICKNESS (Å)
(a)
Co
metal
0Å
5Å
10 Å
15 Å
0Å
11 Å
15 Å
23 Å
BINDING ENERGY (eV)
ESCA Co2p core level spectra of
coated CoCrPt disks
-Coverage limit for a-SiNx is ~10 Å
-Coverage
limit
for Technologies
a-CNx is ~20
© 2005
Hitachi Global
Storage
25
20
sputtered
a-SiNx
15
10
Denser films lead to better
coverage at lower thickness.
sputtered
a-CNx IBD
a-C:H
5
0
786 784 782 780 778 776 774 786 784 782 780 778 776 774
BINDING ENERGY (eV)
simulation, k = 2
simulation, k = 3
simulation, k = 4
simulation, k = 5
XPS experiments
Å
50
60
70
80
90
100
RELATIVE DENSITY (% THEO. DENSITY)
Yen, White, Waltman, Mate, Sonobe, Marchon,
J. Appl. Phys. 93 (2003) 8704
C.M. Mate et al., Hitachi San Jose Research Center
Lubricant Thickness Limit
• Head-disk interfaces quickly fail when
– average lubricant thickness < lubricant chain diameter (6 Å).
100
After 60 day flyability test
% Fly Hieght Loss
90
80
A fly height loss > 10 % indicates
a failing headhead-disk interface.
70
60
50
40
30
20
10
0
0
1
2
3
4
5
6
7
8
9 10 11 12
Final Lubricant Thickness (Å)
C.M. Mate et al., American Physical Society Meeting, 3/22/00
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Limit on Clearance from
Lubricant Roughness
Slider
14
z (nm)
Zdol
capillary wave
roughness
Air
0
density
Zdol
ave.
thickness
Zdol
.001 .01
Air
.1
1
(gm/cm3)
10
Disk
• Air gap clearance limited by thermally excited capillary waves at
•
lubricant-air interfaces.
– Mate, Toney, Leach, IEEE Trans. Magn. 37 (2001) 1821
For a 6Å monolayer of bonded lubricant: Clearance limit ~ 1 nm.
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Disk Roughness
• Measurement technique depends on lateral length scale
– < 10 µm (micro-roughness)
AFM Image µm
1
• XRR (0.1 nm – 2 µm), AFM (10 nm – 10 µm)
– 10 µm – slider length (micro-waviness)
• Laser interferometer, LDV
~ 2nm
• Take-off height (TOH) or glide avalanche
– Smallest physical spacing before head-disk contact
• Static contributors:
– Intrinsic disk and slider roughness
• Dynamic contributors:
– Influence of roughness on flying dynamics
– Influence of flying dynamics on lubricant roughness
– Flying instabilities due to attractive forces between slider and disk
Need to understand better how to measure TOH and what limits TOH.
Educated guess for smallest achievable TOH: 2 nm
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Smallest Achievable Magnetic Spacing
1. Evolution of Current Head-Disk Interface
• Assumption:
– Current static contributors to spacing evolve to their
fundamental limits.
Sensor recession
Head overcoat
Lubricant or contamination on head
Clearance (capillary wave limit)
Disk lubricant
Disk overcoat
Take-off height
Smallest spacing
0 nm
1.0
0.6
1.0
0.6
1.0
2.0
6.2 nm
Magnetic Head Sensors
Trailing Edge
of Slider
Head
Overcoat
Sensor
Recession
Magnetic Spacing
Lubricant
Disk Overcoat
Magnetic Medium
Underlayer
Disk Substrate
Clearance
Sub 7 nm magnetic spacing achievable
if dynamic contributors to magnetic
spacing and tolerances < 0.8 nm.
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Smallest Achievable Magnetic Spacing
2. Wear-in-Pad Concept
• Assumptions:
– Use a burnishing process to remove sensor recession, head
overcoat, and flying height tolerances
– Remaining static contributors evolve to fundamental limits
Sensor recession
Head overcoat
Lubricant or contamination on head
Clearance (capillary wave limit)
Disk lubricant
Disk overcoat
Take-off height
Smallest spacing
0 nm
0
0.6
1.0
0.6
1.0
2.0
5.2 nm
Magnetic Head Sensors
Magnetic Spacing
Lubricant
Disk Overcoat
Magnetic Medium
Underlayer
Disk Substrate
Trailing Edge
of Wear-in-pad
Head
Overcoat
Clearance
Conventional
Slider
Wear-In-Pad
Sub 7 nm magnetic spacing achievable
if dynamic contributors to magnetic
spacing < 1.8 nm.
Reference: G.P. Singh et al.,
IEEE Trans. Magn. 40 (2004) 3148
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Smallest Achievable Magnetic Spacing
3. Contact Recording
• Assumptions:
– Head in continuous contact with media
• Clearance = 0
• TOH = 1 nm (lack of flying instabilities from attractive forces => smaller TOH)
– Use a burnishing process to remove sensor recession and head overcoat
– Remaining static contributors evolve to fundamental limits
Sensor recession
Head overcoat
Lubricant or contamination on head
Clearance (capillary wave limit)
Disk lubricant
Disk overcoat
Take-off height
Ultimate smallest spacing
0 nm
0
0
0
0.6
1.0
1.0
2.6 nm
Magnetic Head Sensors
Magnetic Spacing
Trailing Edge
of Contact Pad
Head
Overcoat
Lubricant
Disk Overcoat
Magnetic Medium
Underlayer
Disk Substrate
One layer of flat
lying molecules!
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Pushing the Limits of Magnetic Spacing:
Progress Towards Contacting Interfaces
Flying Slider-Disk Interface
Contact Slider-Disk Interface
Recording
Head
Physical
Spacing
Takeoff
Height
10
8
6
4
2
0
Flying Height
Distribution
Take-off Height
TOH
# Head-Disk Interfaces
Ultimate
Full Contact
Flying Height or
Mechanical Spacing (nm)
14
12
Near Future
Partial Contact
Flying Height or
Mechanical Spacing (nm)
Flying Height or
Mechanical Spacing (nm)
Today
Contact Avoided
14
12
10
8
6
4
2
0
# Head-Disk Interfaces
14
12
10
8
6
4
2
0
# Head-Disk Interfaces
• Need to design slider-disk interfaces tolerant to contact
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Understanding Wear of Head Overcoat
[Burnishing off overcoat critical for achieving minimum magnetic spacing]
• Example for an Individual Slider
• Initial Wear Rates for Multiple Sliders
–
–
– Burnishing speed = 6-10 m/s
– Wear rate through first 2 nm of carbon head
Wear rate dV/ds = change of volume per unit sliding distance
dV/ds = 3 x 10-22 m2
8.00E-022
Al2O3, TiC, elements
1.5 x 10-21 m2
dV/ds < 2 x
0
20
10-23
40
60
80
100
Accessing Time (minutes)
m2
120
0.6
Friction (grams)
9.00E-022
Head Overcoat
0.5
0.4
0.3
2
1
0
-1
-2
-3
-4
-5
-6
-7
-8
-9
-10
-11
overcoat
Initial Wear Rate dV/ds (m )
Pad Height Change (nm)
Burnishing speed = 6 m/s
Initial interference = 14 nm
• Initial contact force = 1.1 grams
– Disk rms roughness ~ 12 Å
7.00E-022
6.00E-022
5.00E-022
4.00E-022
3.00E-022
2.00E-022
1.00E-022
0.2
0.00E+000
0.1
0.0
0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0
0
20
40
60
80
100
Accessing Time (minutes)
120
Normal Contact Force (grams)
G03SI-Wear.opj 5/23/03
Hypothesis: Wear rate increases dramatically when the contact pressure is
C.M. Mate et al., IEEE Trans. Magn. 41 (2005) 626
sufficient to displace lubricant from the asperity summits.
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Candela OSA Images of ZTetraol
Lubricant Depletion Due to Contact
• High Wear Slider-Disk Interface
– 1 Å depletion with 1 min. contact
– 0. 1 Å depletion with 1 min. flying
• Low Wear Slider-Disk Interface
– 0.1 Å depletion with 1 min.
contact or flying
6 krpm
6 krpm
Flying
5 krpm
5 krpm
50 µm
4 krpm
4 krpm
Contact
3 krpm
3 krpm
Low Pressure
High Pressure
Pc
Pc
σS
σS
In Candela images, lubricant thickness scales inversely with
intensity
10 nm
R
© 2005 Hitachi Global Storage Technologies
R
C.M. Mate et al., IEEE Trans. Magn. 41 (2005) 626
C.M. Mate et al., Hitachi San Jose Research Center
Influence of Humidity on Wear Rate
Ave. Pad Height Reduction (nm)
4.0
Relative Humidity
10%
30%
50%
70%
90%
3.5
3.0
2.5
R.H. = 10%
2.0
1.5
1.0
0.5
0.0
R.H. = 90%
0
10
20
30
40
50
60
70
Burnishing Time (minutes)
(Each data point the average of four slider-disk interfaces)
• Hypothesis:
– Increasing humidity increases lubricant replenish
mobility faster than displacement mobility
© 2005 Hitachi Global Storage Technologies
Q. Dai, C. Gavard
C.M. Mate et al., Hitachi San Jose Research Center
Contact Recording
Flying Slider-Disk Interface
Contact Slider-Disk Interface
Recording Head
Physical
Spacing
Takeoff
Height
• Advantage of Contact Recording:
– Ultimate magnetic spacing
• Challenges of Contact Recording:
– Slider dynamics or "Bounce"
– Head wear
• Controlled wear during burnishing
• No wear during drive operation
• Metrology Issue for Today’s Talk
– What is the thickness of the lubricant film sandwiched
between the slider and disk surfaces?
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Disk Lubricant During Smooth Sliding
Trailing Edge Bounce < 5 nm (mean-to-peak 50-2000 kHz)
•
Lubricant thickness measured by Candela Instrument (an ellipsometry technique)
– Brightness scales inversely with lubricant thickness
– Bright to Dark = 3 Å difference in lubricant thickness
bridging
damps
Capillary Lubricant
pressure at
contacting
asperities
pulls more
lubricant
into
the contact zone.
trailing
edge
motion
Lubricant Thickness (Å)
Slider Width 1.0 mm
11.0
10.8
Contact Region
10.6
10.4
10.2
10.0
9.8
9.6
27750
27800
27850
27900
27950
28000
Radius (µm)
Contact Region 50 µm
Leading edge oscillation
creates lubricant moguls
More lubricant leads to adhesion
and friction increasing with time.
© 2005 Hitachi Global Storage Technologies
Q.Jose
DaiResearch Center
C.M. Mate et al., Hitachi San
Disk Lubricant During Bouncing
Trailing Edge Bounce > 5 nm (mean-to-peak 50-2000 kHz)
• After 15 minutes on the same track
peak-to-valley
thickness ∆ = 5 Å
100 kHz frequency
(Same as air bearing
pitch frequency)
Contact Region 50 µm
Meniscus around contact pad breaks and
reforms
Q. Dai
© 2005 Hitachi Global Storage Technologies
C.M. Mate et al., Hitachi San Jose Research Center
Conclusion
• Good News:
– A magnetic spacing of 2.6 nm should be achievable with a
contact recording head-disk interface
• Should allow areal densities > 4 Terabit / in2
• Bad News:
– Need to burnish head in a controlled manner to remove
head overcoat and sensor recession
– Need to have no wear during drive operation
• Thickness of lubricant between head and disk that provides
wear protection may have to be as little as one monolayer (6 Å)
Magnetic Head Sensors
Magnetic Spacing
Trailing Edge
of Contact Pad
Lubricant
Disk Overcoat
Magnetic Medium
Underlayer
Disk Substrate
© 2005 Hitachi Global Storage Technologies
Head
Overcoat
Talk published in 2004 TRMC proceedings:
C.M. Mate et al., IEEE Trans. Magn. 41 (2005) 626
C.M. Mate et al., Hitachi San Jose Research Center
Exchange Coupled Composite Media
for Perpendicular Magnetic Recording
Jian-Ping Wang
ECE Department & MINT Center
University of Minnesota
Email: [email protected]; Tel: 612-625-9509
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Acknowledgement
z
Graduate students in my group at University of Minnesota: Weikang Shen
and Nadia Khan; Postdoctoral fellow in my group: Dr. Jianmin Bai
z
Prof. Randall H. Victora and Prof. Jack H. Judy at University of Minnesota
z
Dr. C. J. Sun, Mr. Binghai Liu and Prof. G-M Chow at Materials Science
Department, National University of Singapore.
z
Support by Information Storage Industry Consortium (INSIC) Extremely
High Areal Density Recording (EHDR) Program, Samsung and Heraeus Inc.
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Outline
z
z
z
Motivation
Basic structure
Exchange coupled composite media
(1).
(2).
(3).
(4).
(5).
(6).
(7).
z
Growth of hard layer;
Growth of soft layer;
Coupling dependence;
Angle dependence;
Switching field distribution;
Switching process;
Recording performance;
Conclusions
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Perpendicular Recording
z
Advantages
z
z
z
x
..
x
Low demagnetization – high bit density
Narrow track width – high track density
Less sensitive to head medium spacing
S Iwasaki and Y Nakamura, IEEE Trans. Magn. 13, 1272 (1977)
N. Bertram, Theory of Magnetic Recording, Cambridge Press, 1994
z
Thick recording layer with high Hc – thermally stable
S Charap, IEEE Trans. Magn. 1994
z
Promising for 1 Terabit/in2
R. Wood, IEEE Trans. Magn. 36, 36 (2000)
R.H. Victora, et al, IEEE Trans. Magn. 38, 1886 (2002)
M. Mallary, et al, IEEE Trans. Magn. 38, 1719 (2002)
D. Weller, et al, IEEE Distinguished Lecture, 2004
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
“New” Concerns for Terabit/in2
Perpendicular Recording
z
Normalized Switching Field
Hs / Hk
z
Sensitive to switching field distribution of media
Writing field limitation
Happ
1
θ
0.8
M
EA
0.6
α = 0°:
0.4
∆α = 1
o
0.2
hs =
0
0
15
(cos
30
1
2/3
ϑ + sin 2 / 3 ϑ )

 = 8 %

H
∆  s
 Hk

 = 2%

3/ 2
45
60
75
α = 45°:
90
Angle between Easy Axes and
Applied Field α (degree)
University of Minnesota
H
∆  s
 Hk
J. P. Wang,
∆α = 10 o
J. P. Wang, Nature Materials, 4, 191(2005)
GB-01 INTERMAG05
Possible Solutions
• Tilted magnetic recording
Physically tilting easy axis of magnetic grains
C. H. Hee, et al, J. Appl. Phys. vol.91, pp. 8002-8004 (2002)
Y.F. Zheng, et al, J. Appl. Phys. vol. 91, pp. 8007-8009 (2002)
K.-Z. Gao and N. Bertram, IEEE Trans. Magn., vol. 38, pp. 3675-3683(2002)
J. P. Wang et al, IEEE Trans. Magn., vol. 39, pp 1930-1935 (2003)
• Exchange coupled composite (ECC) media
Dynamically tilting easy axis of magnetic grains
R. H. Victora, et al, IEEE Trans. Magn. 41, 537(2005)
J. P. Wang, et al, Appl. Phys. Lett. 86, 142504(2005)
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Exchange Coupled Composite Media
• The magnetic hard region provides proper
thermal stability.
• The magnetic soft region helps the switching
of the whole grain.
Soft region
Hard region
• Lower switching field;
• Higher thermal stability;
Applying a reverse field
• Less angular sensitivity.
Switching point
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Basic Structure of ECC Media
Overcoat
Magnetic Soft Layer
Coupling Control Layer
FeSiO
Magnetic Hard Layer
PdSi
[Co/Pd]n
Underlayer
& Seed Layer
Soft underlayer
Substrate
PdSiO
Ru
Cu/Glass
Challenge: One-to-one grain columnar growth !
First experiment: J. P. Wang, et al, Appl. Phys. Lett. 86, 142504(2005)
Other high Ku materials listed in Weller’s paper can be used too.
D. Weller, et al, IEEE Trans. Magn. 36, 10(2000)
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Growth of [Co/PdSiO]n as Hard Layer
• MINT 8-target UHV DC magnetron sputtering system
• Ru(2-4 nm) is used as a seed layer to provide
a HCP (0001) texture to epitaxially grow FCC Pd (111) initial layer;
• Ru induces small grain size and narrow size distribution in the multilayer.
• Oxygen and Si is doped into [Co/Pd]n multilayer to reduce the exchange
coupling.
[Co/PdSiO]n perpendicular media
Hc(8.12 kOe), α(1.2), mean grain size (8.0 nm),
Grain size distribution (22%)
Switching field distribution (14.7%)
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
M-H Loop of [Co/PdSiO]n Hard Layer
300
100
3
M (emu/cm )
200
0
α=4π dM/dH Hc
-100
-200
-300
-10000 -5000
0
5000
10000
α = 1.2
Hc = 8.12 kOe
Ms= 260 emu/cm3
H (O e)
[Co(0.26 nm)/PdSiO(0.87 nm)]16/PdSi(4 nm)/Ru(4 nm)/Cu(2 nm)/Glass
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Growth of FeSiO as Soft Layer
Mean: 5.4 nm
∆D/D: 18%
FeSiO(10nm)/Glass
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Layer Structure of ECC Media
Si (1 nm)
FeSiO (6.5 nm)
PdSi (t nm)
PdSiO(0.87nm)
Co (0.26 nm)
× 16
t: 0~4 nm
PdSiO(0.87nm)
Co (0.26 nm)
PdSiO(0.87nm)
Pd-SiO2(4 nm)
Ru(4 nm)
Cu(2 nm)
Glass
Si(1 nm)/FeSiO(6.5 nm)/PdSi(t nm)/ML(18.2 nm)/UL & SL/GL
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Plan View TEM Image of ECC Media
D = 8.0 nm
GD = 1 nm
∆D/D= 22%
Si(1 nm)/FeSiO(6.5 nm)/PdSi(0.75 nm)/ML(18.2 nm)/PdSi( 4nm)/Ru (4 nm)/Cu(2 nm)/Glass substrate
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Cross-Section TEM Images of ECC Media
[Co/PdSiO]n
Seed & underlayer
(a)
(a)
(b)
Wax
[Co/Pd]n
FeSiO
Dark Field Cross-Section
Seed &
underlayer
Bright Field Cross-Section
Si(1 nm)/FeSiO(6.5 nm)/PdSi(0.75 nm)/ML(18.2 nm)/PdSi( 4nm)/Ru (4 nm)/Cu(2 nm)/Glass substrate
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Outline
z
z
z
Motivation
Basic structure
Exchange coupled composite media
(1).
(2).
(3).
(4).
(5).
(6).
(7).
z
Growth of hard layer;
Growth of soft layer;
Coupling dependence;
Angle dependence;
Switching field distribution;
Switching process;
Recording performance;
Conclusion
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
HC ~ Exchange Coupling Strength
8000
Hc (Oe)
7000
6000
5000
4000
0
University of Minnesota
1
2
tPdSi (nm)
J. P. Wang,
3
4
GB-01 INTERMAG05
M-H Loops
Proper coupling
ECC type
De-coupling
400
400
300
300
300
200
200
200
100
100
100
-100
-200
-300
-400
3
3
0
M (emu/cm )
400
M (emu/cm )
3
M (emu/cm )
Strong coupling
Exchange-spring type
0
-100
-200
-300
-10000 -5000
0
5000 10000
H (Oe)
University of Minnesota
-400
0
-100
-200
-300
-10000 -5000
0
5000 10000
-400
-10000 -5000
H (Oe)
J. P. Wang,
0
H (Oe)
GB-01 INTERMAG05
5000 10000
Thermal Stability ~ Exchange Coupling
Media type
Strong coupling
ECC
De-coupling
Perpendicular
tPdSi (nm)
0
0.75
4.0
Hard layer
Hc (kOe)
5.30
4.19
7.89
8.12
∆E/kBT
91
107
109
112
ξ
1.0
1.49
0.80
1.0
• Define ξ=2∆E/(MsHsV) as the standard to evaluate the writability
under a certain thermal stability. ∆ E is the thermal energy barrier,
Hs is the switching field, and V is the total volume of grain.
R. H. Victora, et al, IEEE Trans. Magn. 41, 537(2005)
• Large ξ means high thermal stability, low switching field.
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Experiment vs. Simulation
∆E/kBT
107
4.19 × 17 .2
Hc
91
5.3 × 17 .2
Adjustable
Parameter
ξ
109
7.89 × 17 .2
Jex/(Kut)
Calculations by X. Shen and R. H. Victora.
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Outline
z
z
z
Motivation
Basic structure
Exchange coupled composite media
(1).
(2).
(3).
(4).
(5).
(6).
(7).
z
Growth of hard layer;
Growth of soft layer;
Coupling dependence;
Angle dependence;
Switching field distribution;
Switching process;
Recording performance;
Conclusion
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Perpendicular M-H Loops & Remnant Curves
ECC media
University of Minnesota
Perpendicular media
J. P. Wang,
GB-01 INTERMAG05
Angle Dependence of Coercivity
Perpendicular
ECC
8000
Hc (Oe)
6000
4000
2000
0
0
20
40
60
80
100
Angle (Degree)
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Angle Dependence of Remnant Coercivity
Perpendicular
ECC
9000
β= 30%
Hcr (Oe)
8000
∆Hcr
7000
β= 13%
6000
∆Hcr
5000
4000
3000
University of Minnesota
0
20
40
60
Angle (Degree)
J. P. Wang,
80
100
GB-01 INTERMAG05
β= ∆Hcr/Hcr
Outline
z
z
z
Motivation
Basic structure
Exchange coupled composite media
(1).
(2).
(3).
(4).
(5).
(6).
(7).
z
Growth of hard layer;
Growth of soft layer;
Coupling dependence;
Angle dependence;
Switching field distribution;
Switching process;
Recording performance;
Conclusion
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
0.20
0.15
0.10
0.05
0.00
-0.05
-0.10
-0.15
-0.20
0.15
Moment (memu)
Moment (memu)
Multi-Minor Loops
-10000 -5000
0
5000 10000
0.10
0.05
0.00
-0.05
-0.10
-0.15
Field (Oe)
ECC
-10000
0
Field (Oe)
10000
Perpendicular
SFD measurement method refers to Andreas Berger, et al, GB-08, InterMag 2005
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Switching Field Distribution
0.0007
0.0006
Distribution (a. u.)
Distribution (a. u.)
0.0010
0.0008
0.0006
0.0004
0.0002
0.0000
-5000
0.0005
0.0004
0.0003
0.0002
0.0001
0.0000
0
5000
Switching Field (Oe)
10000
ECC
Distribution (∆Hs/Hs)
University of Minnesota
-5000
0
5000
10000
Switching Field (Oe)
Perpendicular
ECC
Perpendicular
17 %
15 %
J. P. Wang,
GB-01 INTERMAG05
15000
Outline
z
z
z
Motivation
Basic structure
Exchange coupled composite media
(1).
(2).
(3).
(4).
(5).
(6).
(7).
z
Growth of hard layer;
Growth of soft layer;
Coupling dependence;
Angle dependence;
Switching field distribution;
Switching process;
Recording performance;
Conclusion
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Magnetization Switching Process
(Hn=-2000 Oe)
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Magnetization Switching Process
Switch point
M/Ms
Reversible
Irreversible
H (10 kOe)
Calculations by X. Shen and R. H. Victora.
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Recording Performance
- Contact (Drag) Tester
40 Gbit/in2 ring head
Ref: 1. J. Moritz et al, Appl. Phys. Lett. 84, 1519 (2004).
2. A. Moser et al, J. Appl. Phys. 85, 5018 (1999).
3. T. D. Leonhard et al, IEEE Trans. Magn. 37, 1580 (2001).
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Conclusions
z
z
Using nano-granular FeSiO layer and [Co/PdSiO]n
multilayer, we successfully demonstrated a new kind of
medium, namely, ECC medium, for perpendicular
recording.
ECC media provides the highest barrier to thermal fluctuation,
compared with conventional perpendicular media or
exchange-spring media, which indicates substantially higher
areal densities can be attained if optimized.
z
ECC media has larger tolerance to the angle dispersion of
magnetic easy axis for magnetic grains.
z
A “revolutionary” point of ECC media is the multi-functional
magnetic-sub-grain design. There will be plenty of
opportunities for researchers to try kinds of structures and
materials design.
University of Minnesota
J. P. Wang,
GB-01 INTERMAG05
Thermal Management in Heat Assisted
Magnetic Recording
Eric J. Black, Prof. T.E. Schlesinger, Prof. James Bain
12 September 2005
Overview
ƒ Magnetic Recording Technology Limits
ƒ Heat Assisted Magnetic Recording
ƒ The STCS (Slider Thermal Characterization System)
2/26
Density trends and demos
10000
Longitudinal demos
Perpendicular demos
Products
1000
INSIC
goal
Recent demos
30 %/yr
Recent products
30 %/yr
1999 demos
190 %/yr
100
Products 1998-2002
100 %/yr
Historical demos
40 %/yr
10
Year
3/26
2020
2018
2016
2014
2012
2010
2008
2006
2004
2002
2000
1998
1996
1994
1992
1
1990
Gbits/sq. in
IBM
Millipede
Summary of density trends
100000
1 grain/bit limit
2 Tbits/in2 @ 9 dB
Bain 2004 (model)
BAR: 11; RW/TP: 0.34; 3 grns
10000
2
Density (Gbits/in )
1 Tbits/in2 @ 15 dB
Bain 2004 (model)
2011
1000
BAR: 11; RW/TP: 0.70; 8 grns
D
C
2005
240 Gbits/in2 @ 15 dB
various at TMRC, 2005 (demo)
100
150 Gbits/in2 @ 15 dB
Mao, et al, 2004 (demo)
B
BAR: 6; RW/TP: 0.70; 8 grns
A
BAR: 6; RW/TP: 0.70; 8 grns
36 Gbits/in2 @ 20 dB:
Charap, Lu, He 1997 (model)
BAR: 12; RW/TP: 0.70; 8 grns
10
0
5
Grain diam (nm)
10
15
4/26
Density trends understood
ƒ Use Realistic SNR model
ƒ
ƒ
ƒ
ƒ
36 Gbits/in2 -> 150 Gbits/in2
Fewer grains need to achieve required SNR
Required SNR lower SNR
Reduction in BAR
ƒ Smaller grain size allows increased density
ƒ 150 Gbits/in2 -> 250 Gbits/in2
ƒ Improve head and medium to maximum possible
ƒ
ƒ
ƒ
ƒ
250 Gbits/in2 -> 1.3 Tbits/in2
Head produces max field with max confinement
Medium has no distribution
SNR requirements are same
ƒ Drastically reduce required SNR (15 dB –> 9 dB)
ƒ 1.3 Tbits/in2 -> 2.0 Tbits/in2
ƒ Little gain due to difficulty in field confinement (high BAR)
5/26
Options for breaking
1 Tbit/in2 barrier ...
ƒ Percolated perpendicular media
ƒ reduction of side writing
ƒ switching volume diameter will still need to stay above 7 nm
ƒ good for possible
ƒ Nanomasked media
ƒ may change media SNR per grain
ƒ switching volume diameter needs to remain at 7 nm
ƒ good for possible extension of 2x (limited by writing)
ƒ Practical limits: 1 Tbits/in2 (demo needed 2011)
ƒ HAMR
ƒ eliminates limit on switching volume
ƒ transfers problem to thermal confinement
ƒ 30 nm already modeled could go to 4 Tbits/in2 (needed 2017)
6/26
Heat Assisted Magnetic
Recording
Heating medium locally
and temporally to
enable data writing.
The data retention time is
significantly increased .
7/26
Heat Assisted Magnetic
Recording
ƒ KU has a significant temperature dependence for most materials*.
ƒ In HAMR, a localized temperature rise temporarily reduces the KU
of the target grains so that HC becomes smaller than HW.
ƒ Current HAMR designs call for heating via optical methods.
J-U. Thiele, K.R. Coffey, M.F. Toney, J.A. Hedstrom, A.J. Kellock, “Temperature dependent properdies of highly chemically ordered Fe55-xNixPt45L10 films”
Journal of Applied Physics Vol. 91, No. 10, pp. 6595-6600, May 2002
8/26
HAMR Challenges
ƒ Media Design
ƒ Fast thermal rise and fall times but minimal power requirement
for sufficient heating.
ƒ Candidate media designs using known materials require ~2mW
of optical power to heat a 50nm wide spot by 400ºC in 0.2ns*
ƒ Lubricant Design
ƒ Tolerant of high temperature transients.
ƒ New tribological materials appear sufficient.**
ƒ Slider Architecture
ƒ Integration of optical and magnetic systems into a single device
allowing sub diffraction limit optical spots to be co-located with
applied magnetic fields.
ƒ Thermal load consequences for the slider must be considered.
*McDaniel T.W. “Ultimate limits to thermally assisted magnetic recording” J. Phys. Condens. Mat., 17, R315-R332, 2005
**Peng W et al 2003 Tribol. Int. (special issue: Tribology of Information Storage Devices) to be published
9/26
Slider Thermal Characterization
System
ƒ We have constructed an automated system to characterize the
response of sliders to applied thermal loads.
ƒ A laser is swept across the slider ABS as the thermal response
is monitored.
ƒ Controlled Variables:
ƒ Laser spot position on target device.
ƒ Incident laser power and duration.
ƒ Measured Responses:
ƒ Laser power incident and reflected.
ƒ Change in magnetoresistance.
ƒ Calculated Responses:
ƒ Target reflectivity.
ƒ Laser power absorbed.
ƒ Element temperature change.
V
Photodetector
Splitter
Reflector
Photodetector
Objective
V
Target
Device
10/26
Reflectivity Measurement
~1.2 mm
Reflectivity
ƒ Incident and Reflected power measurements are used to
calculate the reflectivity of the material.
ƒ Correction factor is applied to account for losses in optics, splitting, etc.
ƒ Regions outside the focal depth of field show very low reflectivity.
11/26
Normalization for
Absorbed Optical Power
Temperature Rise C°/4.73mW
Temperature Rise C°
Raw Thermal Map
Normalized Thermal Map
ƒ Raw thermal data can be normalized with the reflectivity map.
ƒ This is as if all points on the slider absorbed the same amount of power.
ƒ Read element experiences over twice the temperature rise of the slider
bulk.
12/26
1D Heat Conduction
Suspension
Slider
Source
Stainless Steel 30 W/m·Cº
AlTiC
22 W/m·Cº
Rslider
Air 0.01
W/m·Cº
RAB
Glass 1.28
W/m·Cº
Rdisk
Rsuspension
Air Bearing
Disk
Assume heat conduction can be treated with a 1-dimensional
lumped element model.
13/26
Back of the Envelope
RAB
Rslider
Rdisk
Rsuspension
RJ = ∆T
−1
⎛
W 0.0015m ×10 m ⎞
C°
⎟
⎜
= 3.1
= ⎜ 30
⋅
⎟
mW
0.014m
⎠
⎝ m ⋅ C°
−4
RSuspension
l
R=
kA
−1
RSlider
W 0.001m × 0.0012m ⎞
C°
⎛
= ⎜ 22
⋅
⎟ = 0.007
mW
0.00018m
⎠
⎝ m ⋅ C°
−1
W 0.001m × 0.0012m ⎞
C°
⎛
⋅
=
RAB = ⎜ 0.01
0
.
0008
⎟
−8
⋅
°
m
C
m
mW
10
⎝
⎠
−1
RDisk
W 0.001m × 0.0012m ⎞
C°
⎛
= ⎜1.28
⋅
⎟ = 0.41
m ⋅ C°
mW
0.00063m
⎝
⎠
14/26
The Read Element
Reflectivity
ƒ Restricting the scan to the region nearest the read
element.
ƒ Encoder resolution limited 2µm steps.
ƒ High reflectivity of the shields, read and write
elements is more visible.
15/26
Slider in Air
Rslider
Rdisk
Rsuspension
Temperature Rise C°/10mW
RAB
ƒ The disk and air bearing are not present
ƒ Normalized map is scaled as if 10mW is uniformly absorbed at
all locations.
ƒ Measured read element thermal response: ~ 3.0 ºC/mW.
ƒ Predicted thermal impedance Rsuspension+Rslider: 3.1 ºC/mW.
16/26
Slider on Glass
Rslider
Rdisk
Rsuspension
Temperature Rise C°/10mW
RAB
ƒ Same type of slider, now held against a stationary glass disk.
ƒ Disk has a thin carbon overcoat (5nm) and lubricant layer.
ƒ Measured read element thermal response: ~ 1.3ºC/mW.
ƒ Predicted thermal impedance Rdisk: 0.41ºC/mW
ƒ Solving for Rdisk: 2.24ºC/mW
17/26
Flying Slider
Rslider
Rdisk
Rsuspension
Temperature Rise C°/10mW
ƒ
ƒ
ƒ
ƒ
RAB
Same type of slider, now flying over the lubricated glass disk.
Read element thermal response: ~2 ºC/mW.
Predicted thermal impedance RAB: 0.0008 ºC/mW
Solving for RAB we get: 3.8 ºC/mW
18/26
Theory vs. Reality
RAB
0.0008ºC/mW
RDisk
0.4ºC/mW
RSlider
0.007ºC/mW
RAB
3.8ºC/mW
RSlider
0.007ºC/mW
RSuspension
3ºC/mW
RDisk
2.2ºC/mW
RSuspension
3ºC/mW
Predicted Total
Impedance: 0.35ºC/mW
Observed Total
Impedance: 2ºC/mW
ƒ Calculation indicates that the AB should act like a
short to the disk due to its low thermal impedance
(only 0.0008ºC/mW).
ƒ How could such a small thermal impedance make such
a large difference?
19/26
Effective Area
ƒ We have been using the
entire ABS for
calculation.
ƒ Experimental
observations show that a
much smaller region is
involved for this system.
ƒ Backsolving for RAB
using a thermal
impedance of 3.8ºC/mW
yields an effective area
with radius of 9.15µm
0 µm From Read Element
3 µm From Read Element
9 µm From Read Element
20/26
Optical Path Efficiency
Read/Write Power
Input 5mW
Slider power
concentrated in
9µm spot
Waste Heat
42mW
Optical
Source
Total Thermal
Load 63mW
Max
Temperature
Rise 126ºC!!!
Transducer
Loss 16mW
Laser
Electrical
Power Input 30% Efficient* Power
18mW
60mW
Near Field
Transducer
11% Efficient**
Near Field
Coupling
2mW
Media
∆K=400º
Heat
Sink
2mW
* Sendur, K., Chubing Peng, Challener, W., Near-field radiation from a ridge waveguide transducer in the vicinity of a solid
immersion lens, Physical Review Letters, 94, 4 Feb. 2005, p 043901
** K.P. Pipe, R.J. Ram, “Comprehensive Heat Exchange Model for a Semiconductor Laser Diode”, IEEE Photon. Technol. Lett.,
15, April 2003
21/26
Optical Path Efficiency II
Read/Write Power
Input 5mW
Slider power
concentrated in
13µm spot
Waste Heat
42mW
Optical
Source
Total Thermal
Load 63mW
Max
Temperature
Rise 95ºC!!
Transducer
Loss 16mW
Laser
Electrical
Power Input 30% Efficient* Power
18mW
60mW
Near Field
Transducer
11% Efficient**
Near Field
Coupling
2mW
Media
∆K=400º
Heat
Sink
2mW
* Sendur, K., Chubing Peng, Challener, W., Near-field radiation from a ridge waveguide transducer in the vicinity of a solid
immersion lens, Physical Review Letters, 94, 4 Feb. 2005, p 043901
** K.P. Pipe, R.J. Ram, “Comprehensive Heat Exchange Model for a Semiconductor Laser Diode”, IEEE Photon. Technol. Lett.,
15, April 2003
22/26
Optical Path Efficiency III
Read/Write Power
Input 5mW
Slider power
concentrated in
18µm spot
Waste Heat
42mW
Optical
Source
Total Thermal
Load 63mW
Max
Temperature
Rise 63ºC!
Transducer
Loss 16mW
Laser
Electrical
Power Input 30% Efficient* Power
18mW
60mW
Near Field
Transducer
11% Efficient**
Near Field
Coupling
2mW
Media
∆K=400º
Heat
Sink
2mW
* Sendur, K., Chubing Peng, Challener, W., Near-field radiation from a ridge waveguide transducer in the vicinity of a solid
immersion lens, Physical Review Letters, 94, 4 Feb. 2005, p 043901
** K.P. Pipe, R.J. Ram, “Comprehensive Heat Exchange Model for a Semiconductor Laser Diode”, IEEE Photon. Technol. Lett.,
15, April 2003
23/26
Optical Path Efficiency IV
Read/Write Power
Input 5mW
Slider power
concentrated in
36µm spot
Total Thermal
Load 63mW
X16
Waste Heat
42mW
Optical
Source
Transducer
Loss 16mW
Laser
Electrical
Power Input 30% Efficient* Power
18mW
60mW
Near Field
Transducer
11% Efficient**
Near Field
Coupling
2mW
Max
Temperature
Rise 20ºC
Media
∆K=400º
Heat
Sink
2mW
* Sendur, K., Chubing Peng, Challener, W., Near-field radiation from a ridge waveguide transducer in the vicinity of a solid
immersion lens, Physical Review Letters, 94, 4 Feb. 2005, p 043901
** K.P. Pipe, R.J. Ram, “Comprehensive Heat Exchange Model for a Semiconductor Laser Diode”, IEEE Photon. Technol. Lett.,
15, April 2003
24/26
Conclusions
ƒ Traditional HDD recording is reaching limits.
ƒ My research focuses on determining the magnitude and effect
of the thermal transients on the read element and slider
materials for use in HAMR.
ƒ Metrology tool for evaluating heat conduction in sliders has
been developed.
ƒ The high power density used to load the slider in the
experiment makes the air bearing appear to be a poor thermal
conductor.
ƒ The air bearing is actually a good thermal conductor, but not
when the cross sectional area of the thermal channel is very
small.
ƒ Increasing the thermal conductivity of the slider near ABS
should expand the effective area of the thermal channel
through the air bearing, thus minimizing the impedance of the
air bearing.
25/26
Credits
This work was performed as part of the INSIC HAMR
ATP Program, with the support of the U.S.
Department of Commerce, National Institute of
Standards and Technology, Advanced Technology
Program, Cooperative Agreement Number
70NANB1H3056.
26/26
Introduction of Perpendicular Magnetic
Recording Hard Disk Drive
IDEMA
International Disk Forum 2005
Sep.21, 2005
Hiroshi Okamura
TOSHIBA
1 / 123
Copyright © 2005 Toshiba Corporation. All rights reserved.V
Outline
1. Introduction
2. Drive Performance and Features for Mobile HDD
- Thermal Stability
- Write Performance
- FH Sensitivity
3. PRML channel
4. The First Product
5. Summary
2 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Original Work on Perpendicular Recording
•
•
•
•
Circular Magnetization Mode Study in Ultimate LMR
–
S. Iwasaki and K. Takemura, An analysis for the circular mode of magnetization in short
wavelength recording, IEEE Trans. Magn., vol. 11, pp.1173-1175, 1975
–
Idea of Perpendicular Component Magnetization for Stable Recording
Perpendicular Recording Concept
–
S. Iwasaki, Y. Nakamura, An analysis of the magnetization mode for high density magnetic
recording, IEEE Trans. Mang., vol. 13, pp.1272-1277, 1977
–
The first integration results of perpendicular recording configuration
Perpendicular Anisotropy Media with SUL
–
S. Iwasaki, H. Yamazaki, Sputtered-deposited CoCr film with perpendicular anisotropy, Abstract
of Ann. Conf. of IEICE Jpn., 1976-1, pp.187, 1976
–
S. Iwasaki, K. Ouchi, Co-Cr recording films with perpendicular magnetic anisotropy, IEEE Trans.
Magn., vol. 14, pp.849-851, 1978
–
S. Iwasaki, Y. Nakamura, K. Ouchi, Perpendicular magnetic recording with a composite
anisotropy film, IEEE Trans. Magn., vol. 15, pp.1456-1458, 1979
Single Pole Perpendicular Head
–
3 / 22
S. Iwasaki, Y. Nakamura, An analysis of the magnetization mode for high density magnetic
recording, IEEE Trans. Mang., vol. 13, pp.1272-1277, 1977
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Recording Physics: LMR vs. PMR
Longitudinal Recording System
Ring type writer for
longitudinal recording
Reader
S
NN
SS
SS
NN
Recorded magnets repulse and weaken
each other in longitudinal recording.
Longitudinal
recording
layer
N
Longitudinal (in-plane)
write field
Perpendicular Recording System
Perpendicular
Single Pole
Writer
Reader
N
S
S
N
N
S
S
N
N
S
S
N
N
S
Perpendicular
Recording Layer
The perpendicular recording system is
suitable for high density recording,
because of the strong magnetic coupling
between neighboring magnetic bits.
Soft-magnetic
Under Layer
Perpendicular
Write Field
4 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Recording density advantages with PMR
Complementary Features in Engineering
Nature*
Head*
Medium*
Therm. Stability
Write
Servo
Read
Perpendicular
Longitudinal
λ → 0 Hd → 0
Single pole-type
Perp. anisotropy (uniaxial)
Thick δ, High Ms, High Hc
High squareness
w/ Soft under layer
Good at high density
Medium in write flux path
- Efficient writing
- High freq writing
- Wide temp range
- Relaxed spacing
Sharp transition/narrow erase band
- High TPI servo writing
High output
- High SNR
- Good tracking servo
- Relaxed sensitivity
Narrow reading
- Flux from coupled
transition
λ → 0 Hd → 4πM
Dipole (Ring)-type
Long. Anisotropy (2D rand)
Thin δ, Low Ms, High Hc
Low squareness
Rec layer only
Good at low density
Medium outside of flux path
- Narrow spacing required
Low output
- High sensitivity required
Wide reading
- Flux from head-on
transition
*Source; Shun-ichi Iwasaki, “Perpendicular magnetic recording”, IEEE Trans. Magn., Vol. MAG-15, pp.71-76 (1980)
5 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Concept of Reliable Perpendicular Media
- Squareness (Mr/Ms) > 0.98, Negative nucleation
field
Y. Tanaka, T. Hikosaka,
Perpendicular recording with high squareness M
1.5
Hn Hd
CoPtCrO media, J. Magn. Magn. Mater., 235, pp.253-258, 2001
(Presented at PMRC2000)
1.0
10K
50K
100K
200K
300K
H
0.5
-4
M [10 emu]
-
Hn=Hc×S*
0.0
-20000
-15000
-10000
-5000
0
5000
10000
15000
-0.5
0.2
Output [dB]
1.5
-1.5
H [Oe]
Mt [memu/cm2]
0.5
-15000
-10000
-5000
0
5000
10000
15000
6 / 22
-1.5
-0.4
CoCr perp.
10kFCI
-0.6
20000
-0.5
-1.0
-0.2
-0.8
0.0
-20000
longitudinal 10kFCI CoPtCrO perp.
10kFCI
CoCr perp. 100kFCI
0
-1.0
1.0
20000
10K
50K
100K
200K
300K
Hiroshi Okamura, IDEMA
2005, Sep. 21, 2005
H [Oe]
-1
1
10
100
time [sec]
Copyright © 2005 Toshiba Corporation. All rights reserved.
Thermal Stability of Perpendicular HDD
- Extremely stable at high density
- Decay rate: -0.055 dB/decade at low density
- Good thermal stability by high nucleation field Hn
- Hn provides the robustness against disturbing fields
0
Thermal Decay Rate (dB/decade)
Thermal Decay Rate (dB/decade)
0
-0.05
-0.1
-0.15
65degC
-0.1
-0.15
-0.2
-0.2
0
100
200
300
400
500
Linear Density (kFCI)
7 / 22
-0.05
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
0
500
1000
1500
Hn (Oe)
Copyright © 2005 Toshiba Corporation. All rights reserved.
Suppressed Thermal Stability in PMR HDD
- Stable BER: decay rate better than -0.034 order/decade
-4
65degC
B ER
-4.5
-5
OD
MD
ID
A verage
-5.5
-6
0
1
2
3
4
5
6
Log tim e (sec)
8 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Write Performance in Wide Temp Range
- Good write performance is kept even at low temperature.
- Wider temperature range possible than longitudinal
-20
2
delta BER
1.5
OW(dB)
-25
Longitudinal
1
Longitudinal
-30
-35
Perpendicular
-40
Perpendicular
0.5
-45
-40
-20
0
20
40
60
80
100
Temperature (degC)
0
-40
-20
0
20
40
60
Temperature (degC)
9 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
80
100
Temp. Dependence of Hc
LMR -16~21 Oe/degree
PMR -11~16 Oe/degree
Copyright © 2005 Toshiba Corporation. All rights reserved.
Analysis of Spacing Effects
- Write spacing loss is very small in PMR.
⊿BER (log)
LMR
0
-0.1
-0.2
-0.3
-0.4
-0.5
-0.6
-0.7
-0.8
-0.9
-1
Magnetic spacing
PMR(Read&Write)
PMR(Read)
PMR(Write)
LMR(Read&Write)
PMR
Magnetic spacing
0.6
0.7
0.8
0.9
1
Air Pressure (atm)
1.1
1.2
SUL
NOTE: 0.1 atm pressure change corresponds to 1nm spacing change.
10 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Safe for Neighbors
init.
10k
20k
50k
100k
200k
500k
1M
0.4
0.2
0.0
-0.2
-0.4
-0.6
-0.8
-1.0
-1.2
-1.4
# of writes
0.4
0.2
65degC
-20
-10
0
10
Track Width [um]
0.0
20
delta BER
delta BER
- No PMR-head/media related erasure after 1 million write cycles.
-0.2
-0.4
-0.6
-0.8
-1.0
-1.2
-1.4
-3
11 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
-2
-1
0
1
Track Width [um]
2
3
init.
10k
20k
50k
100k
200k
500k
1M
Copyright © 2005 Toshiba Corporation. All rights reserved.
BPI / TPI Ratios; Toward Higher BPI
160
BPI/TPI=6
Track Density (kTPI)
BPI/TPI=8
140
Perpendicular
HDD
Longitudinal
HDD
120
140Gbpsi
120Gbpsi
100Gbpsi
100
BPI/TPI=10
80Gbpsi
60Gbpsi
80
600
12 / 22
800
1000
Linear Density (kBPI)
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
1200
Copyright © 2005 Toshiba Corporation. All rights reserved.
High Density Recording beyond 1MBPI
- 1.2Mbpi(1200kbpi) achieved with MWW=137nm head
Over 1MBPI Performance (for over 200Gbpsi)
-3.5
-4.0
INTERMAG2005
MWW=182nm,
uMRW=97nm
BER
-4.5
MWW=137nm,
uMRW=75nm
-5.0
-5.5
-6.0
0.95
1
1.05
1.1
1.15
1.2
1.25
1.3
1.35
Linear Density [Mbpi]
13 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
PRML
PR class
LMR:
Polynomial
1) negative target(PR4)
PMR:
1)dif. +negative target(PR4)
2)Positive target(PR2)
3)Negative target(PR3)
(1,1)
(1,1)(1,1)
(2,-1)(1,1)
LMR
(1,-1)(1,1)
-(1,-1)2(1,1)2
PMR
14 / 22
PR3 is better for media noise and HPF distortion
by its frequency response
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
PRML differentiation or non differentiation?
What is the consideration ?
U B D = 2.0
-3
Non – Differentiation method
-4
B E R (L og)
– TA robustness
– HPF distortion
– DC offset loop robustness
( PR3)
-●- PR3=PR(2,1,-1)
-▲- EPR3=PR(2,3,0,-1)
(PR2)
-5
-●- PR2=PR(1,2,1)
-▲- EPR2=PR(1,3,3,1)
-■- E2PR2=PR(1,4,6,4,1)
-6
-7
0
HPFfc/TBGmin < 0.05%
HPF Fc/TBG=0.01%
15 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
0.002
0.004
0.006
0.008
0.01
N orm alizeed H P F fc (fc/fs)
HPF Fc/TBG=0.5%
Copyright © 2005 Toshiba Corporation. All rights reserved.
PRML differentiation or non differentiation?
○
×
□
◇
+
▽
△
*
>
<
◇
What is the consideration ?
• Differentiation method
– Differentiator distortion
– Asymmetry distortion
[A]
1.0
1.0
0.9
0.9
1.0
0.8
0.8
1.0
0.7
0.7
0.6
[B] Asym(%)
1.0
0
0.9
5.0
1.0
-5.3
0.9
0
0.8 10.5
1.0 -11.1
0.8
0
0.7 16.6
1.0 -17.6
0.7
0
0.6
0
ER loss
Asym : 0.5% 0.3 order
Asym : 15% 0.5 order
d/dt
Asymmetry
16 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Phase shift
Copyright © 2005 Toshiba Corporation. All rights reserved.
PRML differentiation or non differentiation?
ER comparison
Not much difference between differentiation and
non differentiation methods without any distortion
under UD is less than 2.0.
Row SER
NPs:NPm = 25%:75% @2T
所要ACSN_2T @帯域1T (dB)
ACSN@SER=10-5
@SER=10-5
14
PR(1-1)(5852)+MNP
PR(65-1-2)+MNP
13
12
11
10
1.5
2.0
UBD
2.5
*30/32PRML-PP
17 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
The First Product of Perpendicular HDD
• 1.8”HDD 40GB “MK4007GAL” and 80GB “MK8007GAH”
18 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
HDD Specifications
Main Specifications
Model name
Formatted capacity
Number of platters
Number of heads
Average seek time
Data transfer rate
Rotational speed
Interface
External dimensions
Weight
Shock resistance
19 / 22
MK8007GAH
80GB
2
4
MK4007GAL
40GB
1
2
15msec
Ultra DMA/100
4,200rpm
ATA-6
54 x 78.5 x 8 (mm)
54 x 78.5 x 5 (mm)
62g
51g
2
Operating: 4,900m/s (500G: 2msec)
Non-operating: 14,700m/s2 (1,500G: 1msec)
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Recording Specifications
PMR
1.8” 40GB HDD
LMR
1.8” 30GB HDD
Increment
40GB
30GB
133%
Areal Density
133Gbpsi
93.4Gbpsi
137%
TPI
135kTPI
119.5kTPI
112%
BPI(ave/max)
969/989kBPI
783/808kBPI
123%
Head / Media
SPT-GMR /
DL-PMR
GMR / LMR
PRML
PRML
Capacity
Channel
20 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Perceptions
Facts
PMR achieves higher linear density.
True
LMR < 900kbpi, PMR > 1.2Mbpi
PMR is thermally stable.
True
Only high squareness media realizes
stable BER performance.
SUL is unstable for commercial products. Not
No signs of reliability issues due to SUL
PMR requires lower FH.
Not
PMR has more robustness for FH.
PMR has a wide erasure area while
writing.
Not
No degradation on full surface media
after 1 million write cycles.
PMR is too sensitive for external field.
Not
Controllable as same robustness as LMR.
Perpendicular recording pole may have
remanence magnetization related erase
problems (Pole Erasure).
Not
No Pole Erasure observed with 100
million write cycles.
21 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Summary
• Toshiba has achieved a new areal density record in
the commercial HDD categories at 133Gb/in2 with
perpendicular recording technology. This has allowed
the storage of 40GB of data onto a single 1.8-inch
platter. This is the world’s first commercial HDD
featuring perpendicular recording technology.
• Perpendicular magnetic recording shows great
potential in environmental robustness, thermal stability,
low temperature writing, and flying height variation
sensitivity even at high recording density.
22 / 22
Hiroshi Okamura, IDEMA 2005, Sep. 21, 2005
Copyright © 2005 Toshiba Corporation. All rights reserved.
Exchange Spring Media for
Perpendicular Recording
D. Suess, J. Fidler
Vienna University of Technology, Austria
T. Schrefl,
University of Sheffield, UK
Outlook
Exchange spring media
high energy barrier
moderate coercivity
Optimization
high energy barrier
Write heads
write field properties
and media design
Read back signal
micromagnetic reader
simulations
Introduction
Problem
Using high coercive material gives high thermal
stability but head field can not saturate the
media
Antiferromagnetic exchange spring media [1,2]
Composite media [3,4]
Exchange Spring media [5]
•
•
•
•
•
•
[1] J. U. Thiele, S. Maat, E. Fullerton, Appl. Phys. Lett., vol. 82, pp. 2859, 2003.
[2] K. Yu. Guslienko, O. Chubykalo-Fesenko, O. Mryasov, R. Chantrell, and D. Weller
Phys. Rev. B, vol. 70, pp. 104405, 2004
[3] R.H. Victora, X, Shen, IEEE Trans. Mat. Mater., vol 41, pp 537, 2005.
[4] J.P Wang et al. Intermag,Jacksonville 2004.
[5] D. Suess, T. Schrefl, M.Kirschner, F. Dorfbauer, G. Hrkac, J. Fidler,
Journal of Magnetism and Magnetic Materials vol 290 - 291 pp 551 2005
Exchange Spring Media
• Soft and hard grains are strongly
exchange coupled
soft
• Soft layer helps to reverse the hard
layer. A domain wall is formed
during reversal
hard
• Soft layer does no significantly
change the thermal stability
Conventional perpendicular media
Energy barrier
Switching field
anisotropy
over
magnetization
V
K M
J
Hext
Rotation
grain volume
times
anisotropy
Exchange spring media
Hext
rotation
Thermal activation
domains
soft
hard
Decouple field driven and
thermally induced switching
Strongly exchange
coupled layers
Soft layer
reduces the
switching field
Hard layer
provides high
energy barrier
Optimization - Figur of Merit
• For a given saturation field the highest thermal stability
• Best exchange spring media is compared with
best single phase media
Optimization – Single Layer
• Head field, Bh, has to be large enough to reverse the last grain
• Strayfield stabilizes the last grain, exchange between grains
helps to reverse the last grain
K(J) or J(K)
Saturation Field, Hsat
Hext
Js
Js/µ0
Hext
Hsat
Optimization single Layer– Energy barrier
Hdemag
• Search for maximal energy barrier
for given Bh and Bex.
Optimization – Single Layer
1. EQ
2. EQ
Optimization – Single Layer
• Contour plot of energy barrier for a grain diameter of 8nm
100 kbT
•
•
•
•
•
Hsat = 1.7 T
µ0 Hex = 0.2 T
Grain diamter 6 nm
Film thickness 14 nm
∆E= 18 kbT300
14 kbT
Lines of equal
barrier height
Optimization Bilayer, Hs
• Taking into account the demagnetizing field and Intergranular
exchange (Hex = 0,2 T)
• K1 is iteratively determined in order to get saturation field,
Hs of 1.7T .
• Angle between external field an easy axis 1°.
Hext
soft
hard
Optimization Bilayer ( Js,hard = Js,soft)
Optimal structure:
lhard = 5,3 nm, lsoft = 8,7nm, Js = 0.55 T, K = 1,3 106 J/m³
40
18
•
•
•
•
Hsat = 1.7 T
µ0 Hex = 0.2 T
Grain diamter 6 nm
Film thickness 14 nm
All hard
single Phase media
Further Optimization - vary Js,hard and Js,soft
• Js,soft was varied from 0,3 T to 0,9 T. Js,hard was chosen in a way to
get an average Js of 0,5 T.
• Energy barrier can be increased by 22% when different Js
values are used in the hard and the soft layer.
Javerage = 0,45 T
Javerage = 0,55 T
Js,soft(T)
0,3
Js,soft(T)
28,04
26,89
26,17
25,33
24,73
24,34
25,79
32,22
29,86
27,53
25,72
25,02
24,34
26,45
25,79
35,67
33,46
29,95
26,85
25,21
24,34
30,25
27,00
25,79
37,91
37,17
33,23
28,10
25,31
24,34
39,73
32,65
27,58
25,79
38,54
40,20
36,44
29,87
25,90
24,34
43,53
43,19
35,30
28,14
25,79
38,55
42,24
40,02
31,81
26,41
24,34
43,62
46,33
38,25
28,71
25,79
37,25
43,04
43,33
34,40
26,91
24,34
47,79
41,47
29,71
25,79
37,23
43,21
46,24
37,22
27,45
24,34
30,36
28,63
27,58
26,45
26,03
25,79
34,41
32,31
29,47
27,28
26,25
37,75
36,29
32,54
28,49
39,27
39,90
36,14
39,22
42,23
38,12
0,9
0,5
0,75
1
r = hard thickness/total thickness
0,3
0,9
0,5
0,75
1
r = hard thickness/total thickness
Introducing an exchange breaking layer
•In a 2 nm thick layer the exchange was
reduced by a factor of 2 ,3, 4, 5, and 6
•Js,hard = Js,soft = 0.5 T
State at the saddle point for optimal structure
• Using the nudged elastic band method for calc. of saddle point
• The demagnetizing field of 0.5 T is taken into account
• Exchange field of 0.2 T stabilizes the grain
• The state at the saddle point is almost homogeneous
Saddle Point
Inital State
soft
hard
Final State
Magnetization states during reversal
• Exchange field of 0.2 T is taken into account
• Reversal mode different from thermal induced switching
A
B
C
D
E
B
C
D
E
A
Optimal Structure
thickness = 5,3 nm,
Js = 0.9 T,
K = 0 J/m³
soft
thickness = 8,7 nm
Js = 0.26 T,
K = 9,6 105 J/m³
d=6nm (cylindrical grain)
hard
Javerage= 0,5
Hc = 1,4 T
Hsat = 1,7T [2]
∆E = 48kbT
Media characteristics
Recording on a conventional
and on an exchange spring media
same average Js = 0.5 T
same Hc = 0.94 T at 4 degree
Measuring the energy barrier
• For single phase media using Sharrocks law
• Assumption the energy barrier can be described by
6.3nm
7.0nm
7.5nm
8.7nm
• Single phase media:
m~2
• Exchange spring media
m~1
Perpendicular recording simulations
Finite elements
head and soft underlayer
magnetization dynamics
coil
2 µm
head
data layer
1 nm
soft under layer
Fast BEM methods
hierarchical matrices for all
long range interactions
Fast Poisson solvers
interaction of moving parts
current
Final bit patterns
exchange spring
single phase
current
Recording of bit transitions
Input current
Current in the coil
as function of time
Data layer
blue M points down
red M points up
Head field
as seen by the data layer
green zero field
blue H points down
red
H points up
Recording Process
Head field
Magnetization
Influence of Exchange
20% of Ha
60% of Ha
Exchange Spring Media
Single Phase Media
80% of Ha
Write field rise time
current
write field
single layer
1 ns
Write field rise time
0.2 T
permeability of soft layer shortens
the field rise time by 0.5 ns
current
Switching process
slice plane
reversal times
for three configurations
- single layer
- pole tip soft/hard SUL
- pole tip hard/soft SUL
Exchange spring media
0.2 ns
0.4 ns
0.6 ns
Non uniform
reversal
0.8 ns
1.0 ns
transition
Single phase media
0.2 ns
0.4 ns
0.6 ns
Uniform rotation
0.8 ns
1.0 ns
transition
Head - media interactions
soft
hard
SUL
0.4 ns
0.6 ns
hard
soft
SUL
0.4 ns
0.8 ns
transition
0.6 ns
0.8 ns
Summary
Reversal modes
Thermal induced switching mode significantly differs from field
indcued switching mode
-> ∆E and Hsat can be tuned seperately
Exchange spring media strongly coupled hard and soft layers
factor of 2 in energy barrier
permeability of soft layer shortens write field rise time
Huge Magnetoresistance in Magnetic
Tunnel Junctions Using MgO barrier
and Its Applicability for Next
Generation Magnetic Read-Head
David D. Djayaprawira
ANELVA Corporation
Process Technology Group
Electron Device Equipment Division
September 21, 2005
Acknowledgement
„ K. Tsunekawa, H. Maehara, M. Nagai, S. Yamagata,
E. Okada, N. Watanabe from ANELVA.
„ S. Yuasa1), K. Ando1), Y. Suzuki1,2)
1)Nanoelectronics Research Institute, National Institute
of Advanced Industrial Science and Technology (AIST)
2)Graduate School of Engineering Science, Osaka Univ.
Outline
„ Introduction
„ Sample preparation
„ Growth mechanism of MgO barrier layer
„ Mechanism of Huge TMR ratio in
CoFeB/MgO/CoFeB MTJs
„ Applicability of MgO-based MTJs for TMR head
Introduction
Fe, Co
Al2O3
Fe, CoFe
amorphous
CoFeB
Al2O3
amorphous
Fe, CoFe, CoFeB
MgO(001)
CoFeB
Fe, CoFe
~20%
~70%
~220%
• T. Miyazaki, Tohoku
Univ. (1995)
• D. Wang, NVE (2004)
• S. Yuasa, AIST (2004)
• K. Tsunekawa,
ANELVA (2004)
• S. S. P. Parkin, IBM
(2004)
• J. S. Moodera , MIT
(1995)
Theoretical predictions
Huge TMR effect is expected for fully epitaxial
Fe/MgO/Fe(001) MTJs
MR ratio > 1000%
„ W. H. Butler, X.-G. Zhang, T. C. Schulthess and J. M. MacLaren, Phys. Rev. B 63,
054416 (2001).
„ J. Mathon and A. Umersky, Phys. Rev. B 63, 220403R (2001).
CoFeB/MgO/CoFeB MTJs
300
MR ratio [%]
250
MR 268%
RA 4.5 kΩ-µm2
200
150
100
amorphous
CoFeB
MgO(001)
amorphous
50
0
-1000
CoFeB
0
1000
H [Oe]
D. D. Djayaprawira et. al presented at Intermag 2005
D. D. Djayaprawira, et al., Appl. Phys. Lett. 86, 092502 (2005).
Sample preparation
MTJ Deposition
(ANELVA C-7100)
Field annealing
CAPRES A/S
MTJ characterization
(CIPT measurement @RT)
12-point probe Current-In-Plane-Tunneling
D. C. Worledge et al. APL 83, 84-86 (2003)
Patterning
(Photolithography)
1 µm
MTJ etching
1 µm
(Ar ion milling)
MTJ characterization
(4-point probe @RT)
Patterned element
Deposition conditions
„ Equipment:
„ Sputtering method:
„
„
„
„
„
Substrate:
Substrate temp.:
Base pressure:
Ar pressure:
CoFeB target comp.:
ANELVA C-7100 sputtering system
DC magnetron sputtering for metals
RF magnetron sputtering for MgO with pure Ar
Thermally oxidized Si wafer
Ru (70Å)
Ambient
< 5×10-7 Pa
Ta (100Å)
0.02 ~ 0.1 Pa
CoFeB (30Å)
Co60Fe20B20
MgO (18Å)
CoFeB (30Å)
Ru (8.5Å)
CoFe (25Å)
PtMn (150Å)
Ta (100Å)
Th-ox Si sub.
Outline
„ Introduction
„ Sample preparation
„ Growth mechanism of MgO barrier layer
„ Mechanism of Huge TMR ratio in
CoFeB/MgO/CoFeB MTJs
„ Applicability of MgO-based MTJs for TMR head
TEM image for as-deposited
CoFeB/MgO/CoFeB MTJ
Ta
amorphous
CoFeB
MgO(001)
amorphous
CoFeB
Ru
Co70Fe30
5 nm
PtMn
SAF
Crystal structure of as-deposited
CoFeB(30Å)/MgO(100Å)/CoFeB(30Å)
220
8000
200
MgO(200)
6000
Intensity (cps)
Intensity (cps)
7000
5000
4000
3000
2000
In-plane XRD
MgO
(220)
180
160
140
120
100
1000
0
30
MgO
(200)
Out-of-plane XRD
80
35
40
45
50
2θ (deg)
55
60
65
30
40
50
60
2θχ (deg)
„ MgO tunnel barrier was polycrystalline with
(100) preferential texture
„ CoFeB is amorphous in as-deposited state
70
80
MgO grown on crystalline CoFe
ferromagnetic electrode
Co70 Fe30
MgO
Co70 Fe30
Ru
5nm
Co70 Fe30
CoFe/MgO/CoFe MTJ (MR=69%)
CoFeB
MgO
CoFeB
5nm
CoFeB/MgO/CoFeB MTJ (MR>200%)
Ru
Co70 Fe30
Summary 1
Growth mechanism of MgO (100) barrier
„ MgO with (100) preferred orientation can be grown on
CoFeB probably due to the amorphous nature of CoFeB
layer, which eliminate the lattice mismatch issue (in
contrast if we use crystalline ferromagnetic electrode)
„ (100) fiber texture is the preferred orientation growth for
MgO (a rock-salt structure).
Outline
„ Introduction
„ Sample preparation
„ Growth mechanism of MgO barrier layer
„ Mechanism of Huge TMR ratio in
CoFeB/MgO/CoFeB MTJs
„ Applicability of MgO-based MTJs for TMR head
Annealing temp. dependence
MR ratio (%)
250
Ru (70Å)
Ta (100Å)
200
CoFeB (30Å)
MgO (18Å)
CoFeB (30Å)
Ru (8.5Å)
CoFe (25Å)
150
100
PtMn (150Å)
50
Ta (100Å)
Th-ox Si sub.
0
300°C
×4hr
330°C
×3hr
360°C
×2hr
400°C
×1hr
Annealing condition
The highest MR ratio was obtained at anneal temp. of 360°C for 2 hours.
Annealing temp. dependence of
CoFeB(30Å
(30 )/MgO(100Å
(100 )/CoFeB(30Å
(30 )
„ CoFeB gradually crystallized
with increasing annealing
temperature.
in-plane XRD
XRD intensity (a. u.)
CoFeB(110)
„ MgO[100]//CoFeB[110] and
MgO[110]//CoFeB[100].
400ºC
360ºC
300ºC
MgO(200)
270ºC
30
40
50
2θχ (°)
60
„ MTJs with CoFeB(100)/
MgO(100)/CoFeB(100)
structure are gradually
formed with increasing
annealing temperature.
→ suggested to be a
dominant factor
for the increase of MR
ratio with increasing
annealing temperature.
Why MR ratio is degraded at
annealing temp. of 400°C ?
as-deposited
360°C
400°C
(MR=213%)
(MR=169%)
„ Diffusion of Mn from PtMn layer to the free layer?
or
„ Mixing between CoFeB and Ta capping layer?
Summary 2
Mechanism of Huge TMR ratio in
CoFeB/MgO/CoFeB MTJs
„ Relatively high annealing temperature is necessary to
obtain huge TMR ratio.
„ The annealing temperature is necessary to obtain
CoFeB(100)/MgO(100)/CoFeB(100) crystal structure.
(although we start with a-CoFeB/MgO(100)/a-CoFeB!)
The use of CoFeB ferromagnetic electrode provide
us with robust deposition process.
Outline
„ Introduction
„ Sample preparation
„ Growth mechanism of MgO barrier layer
„ Mechanism of Huge TMR ratio in
CoFeB/MgO/CoFeB MTJs
„ Applicability of MgO-based MTJs for TMR head
Challenge to low-RA junction
300
ANELVA/AIST
Hitachi/Tohoku univ. (CoFeB/MgO/CoFeB)
MR ratio [%]
(CoFeB/MgO/CoFeB)
◆
●
ANELVA/AIST
Target
region of
magnetic
read heads
200
(CoFeB/MgO/CoFeB)
◆
Past reports
on MgObased MTJ
◆
ANELVA/AIST
AIST (Fe/MgO/Fe)
◆
AIST
(CoFeB/Mg/MgO/CoFeB)
Presented at Intermag2005
100
IBM
(CoFe/MgO/CoFeB)
■
(Fe/MgO/Fe)
◆
0
0.1
1
4
10
100
RA [Ω-µm2]
1000
10000
100000
Insertion of ultra-thin Mg layer
Inserting a metallic Mg layer between CoFeB reference
layer and MgO tunnel barrier may improve TMR properties
because the Mg layer may protect the CoFeB surface from
contamination by adsorption of residual gases and physical
damage by sputtered MgO particles.
Co60Fe20B20
T. Lin and D. Mauri
US patent 6,841,395 B2
This study
MgO
Metallic Mg layer
Deposit metallic
Mg layer
Deposit metallic
Mg layer
Deposit oxygen
doped metallic Mg
layer
Directly deposit
MgO layer from
sintered MgO
target
Co60Fe20B20
Perform oxygen
treatment
RA vs. MR (after Mg insertion)
250
without Mg
MR ratio [%]
200
with 4Å Mg
150
yMR : 100%
yRA : 2.0 Ω-µm22
ytMgO
MgO : 8Å
100
50
0
1
10
100
1000
10000
RA [Ω-µm2]
y Below 6 Ω-µm2 RA, MR ratio was improved by inserting a 4 Å Mg layer.
y MR ratio as high as 100% has been achieved at low RA of 2.0 Ω-µm2.
MR curve of patterned element
Size: 0.1 × 0.18 µm2
Anneal: 360°C/8kOe/2h
160
MR ratio [%]
140
MR: 138%
RA: 2.4 Ω-µm2
Co60Fe20B20
100
MgO (8Å)
Mg (4Å)
80
Co60Fe20B20
120
60
40
MR ratio of MTJ
using Al-Ox barrier
20
0
-1000
0
1000
H [Oe]
K. Tsunekawa, D. D. Djayaprawira, et al., Appl. Phys. Lett. 87, 072503 (2005).
XRD (θ-2θ) profile for
CoFeB/(Mg)/MgO/CoFeB films
MgO(200)
X-ray intensity [cps]
6000
5000
4000
CoFeB (30Å)
MgO (30Å)
Mg (4Å)
CoFeB (30Å)
3000
2000
CoFeB (30Å)
MgO (30Å)
CoFeB (30Å)
1000
0
30
40
50
60
2θ [°]
XRD result suggests that the thin Mg layer enhances crystallinity of the
MgO layer.
Possible mechanism
MR ratio
When MgO
layer is thin,
(< 11 Å)
CoFeB
CoFeB
CoFeB
<
CoFeB
Mg layer improves the
MgO crystalline quality,
resulting in high MR ratio
Poor crystal growth
at the initial layer
degrades MR ratio
When MgO
layer is thick,
(> 11 Å)
Mg (4Å)
CoFeB
CoFeB
>
Mg (4Å)
CoFeB
CoFeB
Fairly good crystallinity,
high MR ratio
Very good crystallinity,
but metallic Mg layer
reduces MR ratio
Summary 3
Applicability of MgO-based MTJs for TMR head
„ Low RA and huge TMR ratio of MgO-based MTJs can be
realized by introducing 4Å of Mg insertion layer before
MgO deposition and reducing the MgO thickness to
about 8Å (RA:2.4-µm2, MR:138%).
MgO-MTJ is the very promising for next generation
TMR heads.
An Overview of the Benefits and
Challenges of Discrete Track
Recording Media
David Wachenschwanz, Paul Dorsey, Andrew
Homola, Wen Jiang, David Treves, Bruce Harper,
Norbert Staub, Shoji Suzuki, Henry Nishihira,
Crystal Tang, Eric Roddick
Komag, Inc.
1710 Automation Pkwy., San Jose, CA
Outline
„
Introduction
„
Benefits of Discrete Track Recording (DTR) Media
„
Challenges For Manufacturing DTR Media using Nano-Imprint Lithography
(NIL)
„
LMR and PMR DTR Media Structures
„
Flyability
„
Servoing
„
Corrosion
„
Conclusions
Diskcon, Sept. 21, 2005
Discrete Track Recording (DTR) Technology
DTR Land-Groove Structure
Typical land-groove dimensions:
Track pitch: 127 to 380 nm
Groove depth: 40 to 60 nm
Air-bearing Slider with
Write & Read Head
Data zone radii: 17.65 mm to 46.75 mm
95 mm diameter disk
Diskcon, Sept. 21, 2005
Discrete Track Recording (DTR) Technology
Sputtered Film
Write & Read
Head
Substrate
Write Wide-Read Wide ≥ Land Width
Improves SNRmedia > 1 dB
Diskcon, Sept. 21, 2005
DTR Design Consideration
„
Due to Manufacturing Cost Considerations:
‹ Disk will not be planarized after patterning
„
Due to the well-defined inter-track isolation provided by the
grooves between the data lands:
‹ Magnetic read track width should be equal to or greater
than the data land
‹ Magnetic write track width should be equal to or greater
than the data land
„
Grooving of the media:
‹ Head Air Bearing Must Be Designed To Accommodate
‹ Servo Patterns Must Not Change Land-to-Groove Area
Ratio Significantly
‹ Potential Corrosion Issues Must Be Dealt With
Diskcon, Sept. 21, 2005
DTR Disk Benefits
Improvement
Benefit
Eliminate erasure bands
Wider read head
Reduced servo and drive write-write TMR
>1 dB SNRmedia
>2 dB SNRelectronic
Preformatted servo
Disk cost saving by drive maker
Relaxed write head physical
or magnetic width tolerance
Higher head yields
Lower head cost
Smart CSS/Load unload management
Higher drive reliability
Improved Overwrite Performance
Higher linear bit & track density
Higher thermal stability
Elimination of side writing/erasure by
skewed Perpendicular Recording heads
Higher track density
Less Bit Curvature
Easier to make head
Diskcon, Sept. 21, 2005
Residual LF Signal After Overwriting
Land Width = 290 nm
Groove Width = 250 nm
Groove Depth = 44 nm
10
Conventional
DTR
Residual LF Signal (µV)
8
MWW = 340 nm
MRW = 237 nm
6
4
2
0
-500 -400 -300 -200 -100
0
100 200
Cross-Track Position (nm)
Diskcon, Sept. 21, 2005
300
400
500
Overwrite vs. Cross-Track Position
For DTR and Unpatterned Media
50
Land Width = 290 nm
Groove Width = 250 nm
Groove Depth = 44 nm
HF/LF Overwrite (dB)
40
MWW = 340 nm
MRW = 237 nm
30
Land Width
20
DTR
Conventional
10
0
-500
-400
Diskcon, Sept. 21, 2005
-300
-200 -100
0
100 200
Cross-Track Position (nm)
300
400
500
Overwriting Process For a Conventional Unpatterned Medium
Direction of Medium Travel
Diskcon, Sept. 21, 2005
Overwriting Process For a DTR Medium
Groove
Groove
Direction of Medium Travel
Diskcon, Sept. 21, 2005
Mastering Of DTR Media
Using an R-θ Electron-Beam Recorder
Electron-Beam Column
Resist-Coated Si Wafer
E-beam spot size for current EBR is 40 nm
Diskcon, Sept. 21, 2005
Nano-Imprint Lithography (NIL)
Processing of Substrate (Disk)
Imprint Polymer
Ni Stamper
NiP Substrate
Dry Etch Polymer
Wet Etch NiP Disk
Strip Polymer
Diskcon, Sept. 21, 2005
polymer
coating
Most Critical NIL Process Step
For DTR is Imprinting…
Challenges For Imprint Process
„
„
„
„
„
„
„
Minimal Added Cost to the Disk
Stamper lifetime ~10,000 cycles
Simultaneous double-sided imprint
Uniform Imprint Over Usable Disk Surface
Alignment: eccentricity < 5 µm
Through-put: > 500 pph
Cleanliness
Diskcon, Sept. 21, 2005
DTR Disk: Substrate Fabrication Process
Process Steps
Imprint issues
Pre-coat disk cleaning
Contamination control
particles
residual resist
Polymer coating (dip-spin coater)
selection of resist
low temp imprint
short imprint time
Polymer Coating Bake
ÎEmbossing (cleanroom press)
stamper performance
mold release agent
compliance
durability
Plasma etching (mask development)
Electro-wet-etching
Polymer chemical stripping
Stamper
Pre-sputter disk cleaning
Embossed polymer
Diskcon, Sept. 21, 2005
Finished 95mm DTR Disk
380 nm Track Pitch
Diskcon, Sept. 21, 2005
Optical Scan of a DTR Substrate
Showing Surface Uniformity
Diskcon, Sept. 21, 2005
TEM Cross-section of Longitudinal DTR Media
(Track Pitch = 380 nm)
Magnetic media film stack
NiP Disk
100 nm
Diskcon, Sept. 21, 2005
TEM Cross-section of Longitudinal DTR Media
(Track Pitch = 380 nm)
Carbon Overcoat
Magnetic Layer
Under Layer
NiP Substrate
Diskcon, Sept. 21, 2005
TEM Cross-section of DTR NiP Substrate With
PMR Media Structure
Overcoat
CoCrPtO Hard Magnetic Layer (~15 nm)
Ru (25 nm)
Seed Layer
Soft Magnetic Layer (200 nm)
Substrate (NiP)
Diskcon, Sept. 21, 2005
PMR DTR Signal & Noise Spectra
580KFCI
20
Flat
Land
Groove
Head&Electronics
10
580 kFCI
0
580KFCI
10
Flat
Land
Groove
Head&Electronics
0
-10
Spectrum (dB)
Spectrum (dB)
-10
Flat
Land
-20
-30
-20
-30
-40
Groove
-40
-50
274.5
275
275.5
276
Frequency (MHz)
276.5
-50
H&E
-60
„
0
50
100
150
200
250
300
Frequency (MHz)
350
400
450
500
Noise in the groove is significantly lower, same as head and electronic
noise for frequencies > 250 MHz. Low frequency noise could be
related to the pickup from the walls.
Diskcon, Sept. 21, 2005
277
Groove Effect on Fly Height
800nm
groove
w
d
Fly Height Loss (nm).
25
Positive pressure
ABS
20
15
10
Negative pressure
ABS
5
0
0
10000
20000
30000
Groove cross section (nm²)
Diskcon, Sept. 21, 2005
40000
Wobbled Grooves For Position Servo Information
f1 f2 f1 f2 f1
Diskcon, Sept. 21, 2005
Spectral Side Bands Due to Groove Wobble
Pos=-16uIn.txt
-15
f0+f2
Power Spectal Density (dB)
Pos=-16uIn.txt
f0+f1
20
-20
10
10
00
-25
-10
-10
-30
-20
-20
188
190
192
194
196
198
200
202
-30
-30
-40
-40
-50
-50
0
0
50
100
100
150
200
200
250
Frequency MHz
300
300
350
400
400
f0=165.8MHz
f1 = 29.00 MHzÎ λ1 = 726 nm
f2 = 26.36 MHzÎ λ2 = 799 nm
Write Carrrier Signal or DC-Erase Land Area To Detect Groove Wobble
Diskcon, Sept. 21, 2005
Groove Modulation vs. Position
E5793L: Land:Groove=1:1
15
Carrier
Signal
10
20Log10 Amplitude (dB)
5
0
f0
f0+f1
f0+f2
-5
-10
-15
Sideband
Signals
-20
-25
-30
-35
-30
-20
-10
0
Write Position (uIn)
Diskcon, Sept. 21, 2005
10
20
30
Locating Shifted Sub-locks within One Servo Block
0
1
2
3
4
5
6
Pos=4uIn.txt
Pos=1uIn.txt
Pos=-4uIn.txt
0.25
0.25
0.25
0.2
0.2
0.2
0.15
0.15
0.15
0.1
0.1
0.1
0
2 3 4
0.05
5 6
0.05
7
0
-0.05
0.05
1 2 3
0
-0.05
-0.05
-0.1
-0.1
-0.15
-0.15
-0.2
-0.2
-0.1
-0.15
-0.2
-0.25
-0.25
0
1
2
3
4
5
Diskcon, Sept. 21, 2005
6
7
8
9
0
1
2
3
4
5
6
7
8
9
-0.25
0
1
2
3
4
5
6
7
8
9
Corrosion Performance of Longitudinal DTR Media
Test Conditions: 4 days at 80˚C/80% Relative Humidity
Sample A
Diskcon, Sept. 21, 2005
Sample B
Conclusions
„
Benefits of DTR Media Provide Potential Path For Increasing Areal
Density
„
Nano-Imprint Lithograph (NIL) Has Been Used To Achieve Clean
and Uniform Patterning Of Discrete Track Recording Media With A
Good Prospect To Achieve A Cost-Effective Manufacturing Process
„
DTR Processing Can be Done For LMR and PMR Media
‹ Need to Determine Best Manufacturing Path for PMR DTR Media
 Which Layer To Pattern?
„
Demonstrated Servoing Patterns Compatible with Grooved DTR
Media
„
Good Corrosion Performance Obtained on Grooved DTR Media
Diskcon, Sept. 21, 2005

Similar documents