05_Mark Goeke_SCREEN SPE

Transcription

05_Mark Goeke_SCREEN SPE
Next Generation Track Process
for EUVL, DSA, NIL and E-Beam
SCREEN SPE Germany GmbH
Mark Goeke
Product Manager
1
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Next Generation Track Process
for EUVL, DSA, NIL and E-Beam
2
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Product Portfolio (300mm)
Litho. Track
Coat/Develop
Wet Clean
Single Wfr
SU-3x00
Wet Clean
Batch
Flash
Anneal
Scrubber
Clean
Laser
Anneal
FC-3100
LA-3000F
SS-3x00
3
SEMICON Europe 2015
DT-3000
Lithography Session – Building Solutions
LT-3100
October 8, 2015
Product Portfolio (300mm)
Litho. Track
Coat/Develop
Wet Clean
Single Wfr
SU-3x00
Wet Clean
Batch
Flash
Anneal
Scrubber
Clean
Laser
Anneal
FC-3100
LA-3000F
SS-3x00
4
SEMICON Europe 2015
DT-3000
Lithography Session – Building Solutions
LT-3100
October 8, 2015
Committed to investing in Next Gen. Litho.
EUV
Imm. ArF & EUV
2011
Partner
imec
2012
ASML
Nikon
Imm. ArF
EUV
5
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Committed to investing in Next Gen. Litho.
EUV
Imm. ArF & EUV
2011
Partner
imec
E-Beam & DSA
2012
ASML
2013
Nikon
LETI
Mapper
Imm. ArF
EUV
E-Beam
DSA
6
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Committed to investing in Next Gen. Litho.
R&D Collaboration Investments 2011-2015
JPY 5,000 Million ( >US$ 40 Million )
EUV
Imm. ArF & EUV
2011
Partner
imec
E-Beam & DSA
2012
ASML
2013
Nikon
LETI
2015
450mm
Mapper G450C
Imm. ArF
EUV
E-Beam
DSA
450mm
7
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Material Development for Next Gen. Litho.
Company
EUV (E-Beam)
DSA
Imm. ArF
Merck / EMD
(p.k.a. AZ)
Δ
Rinse / TC
○
○
NTD Shrink
+ Metal HM
○
○
Nega. Resist
Nega. Resist
Nissan Chem.
Δ
UL / TC
Δ
Underlayers
DDRM
JSR
○
Resist / TC
○
○
+ Underlayers
+ Metal HM
TOK
○
○
○
Inpria
○
FujiFilm E.M.
Arkema
SEMICON Europe 2015
Negative Tone
Processes
+ Metal HM
○
NIL Transpin
Underlayer
Canon N.T.
8
Other
Lithography Session – Building Solutions
October 8, 2015
Current view on Next Gen. Litho.
9
2013
2014
2015
2016
Immersion
New High RCA
Resist Qual.
Multi-patterning
200wph+
“Zero Defect”
Litho. Process
Complementary EUV
/ DSA integration
DSA
Materials R&D
DSA process defect
reduction qual.
DSA integrated layer
Pilot Line Testing
PS-b-PMMA Pilot
Production Start
EUV
EUV R&D
5~10wph
EUV Process Dev.
10~20wph
EUV Pilot Line
30~50wph
EUV Ready HVM
50~80wph
E-Beam
E-Beam in-line
@ CEA-LETI
Beta Tool Start-Up
NIL
-----
-----
300mm Beta Qual.
~1wph
300mm Beta Tool
5-10wph
Prototype Product
1~5wph
Cluster Tool Pilot
30-60wph
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Immersion ArF Multiple Patterning YIELD CHALLENGE
Ideal Case
Resist
Resist
SiARC
SiARC
Bottom Layer
Bottom Layer
SiO2
Si
SiO2
Si
SiO2
Si
SiO2
Si
Resist
Resist
Litho
SiARC
SiARC
Exposure &
Develop
Bottom Layer
Bottom Layer
SiO2
Si
Si
SiO2
Si
Si
SiO2
Si
SiO2
Si
1st Litho
Exposure &
Develop
1st Hard-Mask
Etch
2nd
2nd Hard-Mask
Etch
Trench Etch
10
Defects in coated films
SEMICON Europe 2015
Si
Lithography Session – Building Solutions
Si
1st Litho defect
2nd Litho defect
1st Litho defect
+
nd
2 Litho defect
Double patterning makes
Defect density twice as
hard to achieve Yield
October 8, 2015
CIP Coat Dispense Valve “Clean by Design”
Defect Extermination for YIELD @ 26nm
with minimal dispense line solvent purging
Valve
Valve
11
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
In-situ Analysis COAT Dispense Camera
Normal
Abnormal
Captured
Image
Images were taken by high-speed video camera with 3000 frames / second during wafer rotation.
Anomaly “droplet” observed for abnormal coat wafer.
12
SEMICON Europe 2015
Lithography Session – Building Solutions
October
July 15,
8, 2015
Non-Uniformity Mechanism
Surface image
Film thickness
2nm (~3% of FT-mean)
Viscosity change in droplet
Droplet blends into the film
Remains in film as non-uniform spot
Extra droplet caused local viscosity change and abnormal
film thickness shows as non-uniform spot.
13
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Coat Dispense Monitoring (Bubbles in Line)
Air vent
Resist bottle
DCV
Air vent
Filter
Trap
tank
IGS
Feed Pump
Dispense Pump
Pressure monitor
Preparing
Dispense
Filtering
Detection
sample
14
SEMICON Europe 2015
Data in case of
bubble in tube
Lithography Session – Building Solutions
October 8, 2015
In-situ Analysis DEVELOP Process for EUV
Wafer Map
Captured Image
Resist D
Defects :19
D.D :0.03/cm2
Resist E
Defects :107807
D.D :190.04/cm2
KEY OBSERVATIONS
• Drying condition for each resist visibly different.
• No “blob” defects for Resist D
 Concentric drying occurs
• Many “blob” defects for Resist E
 Partial drying within exposure die-by-dye
15
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
‘Blob’ Defect Mechanism in Develop (EUV)
Resist D
Resist B
Resist C
Resist E
Wafer Map
Die Map
1.
High-Speed
Camera Image
2.
SEM Image
No ‘Blob’ defects
observed
1. Correlation between die map and rinse remaining pattern confirms
“blob” defect results from non-uniform wafer drying of rinse.
2. “Blob” defects appear as typical water marks in SEM image.
16
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
with in-line ASML NXT:1950i
ASML
NXE:3100
was <10m
next bay
for
off-line
EUV
exposure
& new
NXE:3300
is neighbor
17
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Reducing EUV Microbridge Defects
Defect Map
&
SEM Image
Bottom bridge
4.03 /cm2
 Materials
 Process
Under Layer A
Under Layer B
Resist A
Resist B
Develop: Static
Dynamic Puddle
Combine best materials
& track develop process
SPIE AL2015, 9422-83, SCREEN,
“Improving Process and System for EUV Coat-Develop Track”
18
SEMICON Europe 2015
Defect Map
Bottom-bridge
Lithography Session – Building Solutions
0.03 /cm2
October 8, 2015
EUV process CD Uniformity (NXE:3100)
Resist A
Resist C
Resist C
UL B
UL C
Resist B
CD Map
25.0
29.0
25.0
29.0
28.0
31.0
29.0
32.0
SEM Image
CD mean (nm)
3sigma (nm)
(nm)
27.2
0.77
LWR 6.25
26.5
0.56
LWR 5.89
29.5
0.91
LCDU 3.74
30.7
0.99
LCDU 3.12
P-RE-10 International Symposium on Extreme Ultraviolet Lithography
October 27, 2014, Washington, D.C.
19
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
LWR Improvement for EUV process
Resist
Resist B by UV Cure
Resist C by Solvent Vapor Cure
Initial
Cure
Initial
Cure
LWR (nm)
6.26
5.42
5.70
4.37
Improvement rate
--
13.42%

23.33%
CD (nm)
35.60
33.31
35.88
37.17
Process
SEM Image
SPIE AL2015, 9422-83, SCREEN, “Improving Process and System for EUV Coat-Develop Track”
20
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Litho. E-Beam
E-Beam Exposure niche market
development for rapid prototype IC
FX-1200 E-Beam
Exposure Tool
1st full 300mm wafer exposure <1 hour
track in-line
integration
Lithography Workshop, June 2015,
“MAPPER: Progress towards a manufacturing system”
21
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Litho. E-Beam & DSA Collaborations
E-Beam Exposure niche market
development for rapid prototype IC
Developing “All Track”
DSA patterning N10  N7 process
DSA
E-Beam
FX-1200 E-Beam
Exposure Tool
22
SEMICON Europe 2015
track in-line
integration
Via Pattern
CD shrink
Lithography Session – Building Solutions
Via Doublets
with 1:1 pitch
October 8, 2015
New: DSA process ready track
450mm
300mm
Imm. ArF + DSA single-loop track
“All Track” DSA coat & develop process
SOC, SiARC Coat

Resist Coat

Nikon Expose

TMAH Develop

UV Cure

DSA C/H shrink
100nm
Via Pattern
CD shrink
23
SEMICON Europe 2015
Lithography Session – Building Solutions
Via Doublets
with 1:1 pitch
October 8, 2015
450mm imm. ArF Lithocell established at
Global 450mm Consortium (G450C) at SUNY's NanoCollege
selects SCREEN immersion ArF lithography track
Nikon Announces 450 mm ArF Immersion Scanner at SUNY
Polytechnic Institute is Transitioning to Wafer Patterning
February 24, 2014 (Press Release); January 2015 install start
July 8, 2015 (Press Release); Under installation
SOKUDO DUO 450mm coat/develop track system has been chosen
by the Global 450mm Consortium (G450C), headquartered at the
SUNY College of Nanoscale Science and Engineering (CNSE) in
Albany, New York, for immersion ArF lithography and Directed
Self-Assembly (DSA) applications.
Nikon Corporation (Kazuo Ushida, President) announced the
world’s first ever 450 mm immersion scanner, the Nikon NSRS650D, has been installed at SUNY Polytechnic Institute’s Colleges
of Nanoscale Science and Engineering (SUNY Poly CNSE) and is
transitioning to wafer patterning.
http://www.screen.co.jp/eng/press/NR140224E.html
displayed during
24
SEMICON Europe 2015
https://www.nikonprecision.com/press-releases/nikon-announces-450-mm-arfimmersion-scanner-at-suny-polytechnic-institute-is-transitioning-to-waferSEMICON
West, July 2015
patterning/
Lithography Session – Building Solutions
October 8, 2015
Canon Nanoimprint
Single Tool
(~10wph)
FPA-1100 NZ2
4 Tool Cluster
(40~60wph)
Canon is Developing Semiconductor Lithography Equipment Employing Nanoimprint Technology, February 23, 2015
http://www.usa.canon.com/cusa/about_canon?pageKeyCode=pressreldetail&docId=0901e02480e70574
2015 Lithography Workshop, June 2015
http://lithoworkshop.org/2015-Lithography-Workshop-Program-Book-v1-2.pdf
25
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
Mapper Lithography E-Beam
Single Tool
(1-10wph)
FX-1200
10 Tool Cluster
(50-100wph)
High Throughput Maskless Lithography, October 2011 Lithography Extensions Symposium
http://www.sematech.org/meetings/archives/litho/euvl/10157LE/pres/Marcel%20van%20Kervinck.pdf
26
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
E-Beam “Small” Track ~25wph
PHP
PQHH
PQHH
AHL
PQBH
PQBH
CP
E-Beam
Exposure
Module
10~20wph
CP
CP
CP
SD (TMAH)
BSS-D
( 200mm / 300mm )
CP
PA
SC (Resist)
SC (SiArc)
SC (SOC)
27
SEMICON Europe 2015
Lithography Session – Building Solutions
CP

SC (SOC)

PQHH

CP

SC (SiArc)

PQHH

CP

SC (Resist)

PQBH

BSS-D

CP

PA

Expose

BSS-D

PQBH

CP

SD (TMAH)

PHP
October 8, 2015
300mm
Visions on Next Gen. Lithography in 2020
2015
Immersion
28
2016
EUV / DSA
“Zero Defect”
Complements
Process
2017
2018
2019
2020
>250wph &
SAQP HVM
>275wph

>300wph (?)
DSA
DSA layer
Pilot Line
PS-b-PMMA
1st HVM layer
PS-b-PMMA
HVM Expands
High Ӽ
Pilot Intro.
EUV
1st Pilot Line
30~50wph
Pilot Ramp
50~80wph
1st HVM Line
60~100wph
HVM Ramp
>80wph

>100wph

>125wph
E-Beam
Beta Qual.
~1wph
Prototype
1~2wph
Pilot Line
2~5wph
Pilot Ramp
>5wph
Cluster Tool
50~80wph
HVM
60~100wph
NIL
Beta Tool
5-10wph
Cluster Tool
30~60wph
Pilot Line
50~80wph
HVM Start
50~80wph
HVM Ramp
>80wph
HVM Ramp
>100wph
SEMICON Europe 2015
Lithography Session – Building Solutions
1st
High Ӽ
HVM layer
High Ӽ
HVM
October 8, 2015
29
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015
30
SEMICON Europe 2015
Lithography Session – Building Solutions
October 8, 2015

Similar documents