Jahresbericht 2013

Transcription

Jahresbericht 2013
IHP Annual Report 2013
IHP GmbH – Innovations
for High Performance
Microelectronics / Leibniz-Institut
für innovative Mikroelektronik
Im Technologiepark 25
15236 Frankfurt (Oder)
Germany
Telefon +49 335 5625 0
Fax +49 335 5625 300
[email protected]
www.ihp-microelectronics.com
Annual Report
2013
Annual Report 2013
An n ual
R ep ort
2013
1
Vo r wo r t
–
Fo r e wo r d
Prof. Dr. Wolfgang Mehr
2
Liebe Leserinnen und Leser,
mit dem vorliegenden Jahresbericht erhalten Sie einen
Überblick über ausgewählte wissenschaftliche Ergebnisse des Jahres 2013.
Dear Friends and Readers,
With this annual report you get an insight into selected scientific results of the year 2013.
Megatrends wie Konnektivität und Mobilität bestimmen
heute das tägliche Leben und Arbeiten und führen zu
immer mehr Kommunikation. Datenmengen wachsen
exponentiell und sind nur durch neue Technologien zu
bewältigen. Eine ältere Bevölkerung bringt neue Anforderungen im Bereich der Gesundheit, die ebenfalls
nur mit Innovationen realisierbar sind. Sicherheit als
Megatrend steht in engem Zusammenhang mit der zunehmenden, insbesondere auch drahtlosen Vernetzung
und der daraus folgenden Angreifbarkeit von Daten und
Infrastruktur.
Megatrends such as connectivity and mobility determine today’s daily life and work and lead to increasing
communication. Data rates are growing exponentially
and new technology is the only way to deal with this.
An older population creates new requirements in the
field of healthcare that can only be managed by innovation. Security, as a megatrend, is closely connected
with the increasing networks, especially wireless networks, and the resulting data and infrastructure sensitivity.
Schnelle siliziumbasierte Elektronik und deren Verbindung mit Informationstechnologien sind der Schlüssel
für Innovationen, um dem neu entstehenden Bedarf zu
entsprechen. Sie ermöglicht außerdem auch Miniaturisierung durch Integration sowie hohe Zuverlässigkeit
und geringe Kosten.
Fast silicon-based electronics and their connection
with information technology are the key for innovation to satisfy these new requirements. It also allows
miniaturization by integration as well as high reliability and low costs.
Das IHP hat sich vier strategische Forschungsziele
gestellt, die als langfristige Orientierung dienen:
1.100 Gigabit pro Sekunde WLAN für schnelles
drahtloses Internet
2.Sichere und energieautarke drahtlose Sensornetze
3.Terahertz Lab-on-Chip für Medizintechnik-Anwendungen
4.Integrierte siliziumphotonische Terabit pro Sekunde
Systeme für schnelles kabelbasiertes Internet.
For long-term orientation, IHP has four strategic
research goals:
1.100 Gigabit per second WLAN for fast wireless
internet
2.Secure and energy autonomous wireless sensor
networks
3.Terahertz lab-on-a-chip for medical applications
4.Integrated silicon photonic Terabit per second
systems for fast cable-based internet.
Annua l
Re p or t
2013
Vo r wo r t
–
Fo r e wo r d
Diese Ziele richten sich insbesondere auf den Bedarf in
den Bereichen Kommunikation, Sicherheit und Gesundheit.
These objectives are especially targeted towards the
needs in the fields of communication, security and
healthcare.
Um die strategischen Forschungsziele des IHP erreichen
zu können, ist eine Vervielfachung der Geschwindigkeit
der elektronischen Bauelemente mittels z.T. völlig neuer Konzepte und Materialien erforderlich. Die BiCMOSTechnologie des IHP ermöglicht die Integration sehr
schneller analoger und digitaler Transistoren auf einem
Schaltkreis. Dieser wichtige Vorteil der BiCMOS wird auch
in Zukunft benötigt. Für einige Anwendungen sind weitere Funktionen erforderlich, die eine BiCMOS üblicherweise nicht bietet. Dafür entwickelt das IHP zusätzliche
technologische Funktionen, die bei Bedarf als Module
integriert werden können wie z.B. RF-MEMS, Siliziumphotonik und graphenbasierte Elektronik.
Speed multiplication of electronics components by
means of partly completely new concepts and materials is necessary to reach IHP`s strategic research
goals. IHP`s BiCMOS technology allows integration
of very fast analogue and digital technologies in a
circuit. This important advantage will also be used in
the future. Some applications need further functions
that are normally not provided by BiCMOS. For these
cases, IHP develops additional technological functions that can be integrated, e.g. RF-MEMS, silicon
photonics and graphene-based electronics.
Durch langjährige Konzentration auf schnelle siliziumbasierte Technologien hat das IHP auf diesem Gebiet
eine internationale Spitzenstellung erreicht, die sich
in Weltrekordparametern und zahlreichen Technologieüberführungen zeigt. Die Erfahrung bei der Fertigung
von Prototypen und Kleinserien in seiner Pilotlinie ist
Grundlage für eine schnelle Überleitung von Forschungsergebnissen in innovative Produkte. Das IHP arbeitet
intern mit einem vertikalen Konzept der Einheit von System-, Schaltkreis-, Technologie- und Materialforschung,
und damit enger Verbindung von Elektronik und Informationstechnologie.
With a long-term focus on silicon-based technologies, IHP has already reached international top performance that can be seen in world-record parameters and numerous technology transfers. Basis for
fast transition from research results to innovative
products is the experience with prototype and small
series production in IHP`s pilot line. The institute
works internally with the vertical concept of a unit of
System and Circuit Design, Technology and Materials
Research and thus a close link between electronics
and information technology.
An dieser Stelle möchten wir unseren Mitarbeiterinnen
und Mitarbeitern ganz herzlich für die engagierte Arbeit
im Jahr 2013 danken. Ebenso danken wir der Brandenburgischen Landesregierung und der Bundesregierung
für die außerordentliche Unterstützung unserer Arbeiten.
At this point we would like to thank our employees
very much for the dedicated work in 2013. We also
thank the Federal State Government of Brandenburg
and the Federal Government of Germany for the extraordinary support of our work.
Wolfgang Mehr
Wiss.-Techn. Geschäftsführer
Manfred Stöcker
Adm. Geschäftsführer
An n ual
R ep ort
2013
3
Contents
4
Annua l
Re p or t
2013
I n h a l t S V ER Z E I C HN I S
–
Co n te nts
Vorwort
2
Foreword
Aufsichtsrat
6
Supervisory Board
Wissenschaftlicher Beirat
7
Scientific Advisory Board
Forschung des IHP
8
IHP‘s Research
Das Jahr 2013
18
Update 2013
Ausgewählte Projekte
32
Selected Projects
Gemeinsame Labore
68
Joint Labs
Zusammenarbeit und Partner
78
Collaboration and Partners
Gastwissenschaftler und Seminare
82
Guest Scientists and Seminars
Publikationen
86
Publications
Angebote und Leistungen
142
Deliverables and Services
Wegbeschreibung zum IHP
150
Directions to IHP
An n ual
R ep ort
2013
5
A u fsic h t s r a t
6
–
S u p e r vis o r y
Boa r d
Aufsichtsrat
Supervisory Board
Dr. Claudia Herok
Vorsitzende
Ministerium für Wissenschaft, Forschung und Kultur
Land Brandenburg
Dr. Claudia Herok
Chair
Ministry of Science, Research and Culture
State of Brandenburg
RD Dr. Ulf Lange
Stellvertretender Vorsitzender
Bundesministerium für Bildung und Forschung
RD Dr. Ulf Lange
Deputy Chair
Federal Ministry of Education and Research
Dr.-Ing. Peter Draheim
Kaustik solar GmbH, Hamburg
Dr.-Ing. Peter Draheim
Kaustik solar GmbH, Hamburg
Antje Fischer
(seit 1. Januar 2013)
Ministerium der Finanzen
Land Brandenburg
Antje Fischer
(since January 1, 2013)
Ministry of Finance
State of Brandenburg
Dr. Gunter Fischer
IHP GmbH
Dr. Gunter Fischer
IHP GmbH
Prof. Dr. Christoph Kutter
(bis 11. Januar 2013)
Fraunhofer EMFT, München
Prof. Christoph Kutter
(until January 11, 2013)
Fraunhofer EMFT, Munich
Dr. Harald Richter
IHP GmbH
Dr. Harald Richter
IHP GmbH
Prof. Dr. Jörg Steinbach
Technische Universität Berlin
Prof. Jörg Steinbach
Technical University of Berlin
Prof. Dr. Eicke R. Weber
Fraunhofer ISE, Freiburg
Prof. Eicke R. Weber
Fraunhofer ISE, Freiburg
Annua l
Re p or t
2013
Wiss e n sc h a f t l ic h e r
Be i r at
–
S ci e n t ific
A d vis o r y
B OARD
Wissenschaftlicher Beirat
Scientific Advisory Board
Prof. Dr. Hermann Rohling
Vorsitzender
Technische Universität Hamburg-Harburg
Prof. Hermann Rohling
Chair
Hamburg University of Technology
Prof. Dr. Jörg Weber
Stellvertretender Vorsitzender
Technische Universität Dresden
Prof. Jörg Weber
Deputy Chair
Technical University of Dresden
Dr. Volker Dudek
Clifton GmbH, Heilbronn
Dr. Volker Dudek
Clifton GmbH, Heilbronn
Prof. Dr. Lothar Frey
Fraunhofer IISB, Erlangen
Prof. Lothar Frey
Fraunhofer IISB, Erlangen
Prof. Dr. Richard Hagelauer
Johannes Kepler Universität Linz,
Österreich
Prof. Richard Hagelauer
Johannes Kepler University Linz,
Austria
Prof. Dr. Robert Weigel
Friedrich-Alexander-Universität Erlangen-Nürnberg
Prof. Robert Weigel
Friedrich-Alexander-University of Erlangen-Nuremberg
Leitung
Management
Prof. Dr. Wolfgang Mehr
Wissenschaftlich-Technischer Geschäftsführer
Prof. Wolfgang Mehr
Scientific Director
Manfred Stöcker
Administrativer Geschäftsführer
Manfred Stöcker
Administrative Director
An n ual
R ep ort
2013
7
IHP‘s Research
8
Annua l
Re p or t
2013
F o r sc h u n g
d e s
I HP
–
I HP ‘ s
R e s e a r c h
Forschung des IHP
IHP‘s Research
Das IHP konzentriert sich auf die Erforschung und Entwicklung von siliziumbasierten Systemen, Höchstfrequenz-Schaltungen und -Technologien einschließlich
neuer Materialien. Es erarbeitet innovative Lösungen
für Anwendungsbereiche wie die drahtlose und Breitbandkommunikation, Sicherheit, Medizintechnik, Luftund Raumfahrt, Automobilindustrie und Industrieautomatisierung.
IHP is focused on research and development of siliconbased systems, high-frequency circuits and technologies including new materials. It creates innovative
solutions for application areas such as wireless and
broadband communication, security, medical technology, aerospace, automotive industry and industrial
automation.
Das Institut arbeitet an den folgenden vier eng miteinander verbundenen Forschungsprogrammen:
The institute is working on the following four closely
connected research programs:
1.Drahtlose Systeme und Anwendungen
2.Hochfrequenz-Schaltkreise
3.Technologieplattform für drahtlose und
Breitbandkommunikation
4.Materialien für die Mikro- und Nanoelektronik.
1.Wireless Systems and Applications
2.RF Circuits
3.Technology Platform for Wireless and
Broadband Communication
4.Materials for Micro- and Nanoelectronics.
Die Forschungsprogramme nutzen die besonderen Möglichkeiten des IHP. So verfügt das Institut über eine
Pilotlinie für technologische Forschungen und Entwicklungen sowie die Präparation von Prototypen und
Kleinserien. Eine weitere Besonderheit ist das vertikale
Forschungskonzept unter Nutzung der zusammenhängenden und aufeinander abgestimmten Kompetenzen
des Institutes auf den Gebieten Systementwicklung,
Schaltungsentwurf, Technologie und Materialforschung.
The research programs make use of the special opportunities provided by IHP. For instance, the institute
has a pilot line for technological research and developments as well as for manufacturing prototypes
and small series. An additional feature is the vertical research concept employing the associated and
harmonized expertise of the institute in the fields of
system development, circuit design, technology, and
materials research.
Die Forschung des IHP setzt auf die typischen Stärken
eines Leibniz-Institutes: Sie ist charakterisiert durch
eine langfristige und komplexe Arbeit, welche Grundlagenforschung mit anwendungsorientierter Forschung
verbindet.
The research of IHP is based on the typical strengths
of a Leibniz Institute: it is dominated by long-term
and complex efforts which connect basic research
with application-oriented research.
Die Realisierung der Forschungsprogramme erfolgt mit
Hilfe eines regelmäßig aktualisierten Portfolios von Projekten auf Basis einer mittelfristigen Roadmap. Die Aktualisierung geschieht aufgrund inhaltlicher Erfordernisse sowie der Möglichkeiten für Kooperationen und
Finanzierung. Drittmittelprojekte werden im Einklang
mit den strategischen Zielen des IHP eingeworben.
The realization of the research programs is accomplished utilizing a project portfolio based on a medium-term roadmap. The project portfolio is regularly
updated according to content requirements as well as
through opportunities for cooperations and outside
funding. Grant projects are acquired in accordance
with the strategic goals of IHP.
An n ual
R ep ort
2013
9
F o r sc h u n g
10
d e s
I HP
–
I HP ‘ s
R e s e a r c h
Die Forschungsprogramme des IHP verfolgen derzeit die
nachfolgend genannten Ziele:
Current goals of IHP’s research programs are specified
below:
Drahtlose Systeme und Anwendungen
Wireless Systems and Applications
Im Programm „Drahtlose Systeme und Anwendungen“
werden komplexe Systeme für die drahtlose Kommunikation und deren Anwendungen untersucht und entwickelt. Ziel sind Hardware- / Software-Systemlösungen
auf hochintegrierten Single-Chips, Systeme-on-Chip
(SoC) oder Systeme-in-Packages (SiP). Die Arbeiten
werden in drei Forschungsgruppen durchgeführt.
This program investigates and develops complex systems for wireless communication and their applications. The objective is finding solutions for hardware /
software systems on highly integrated single chips,
Systems on a Chip (SoC) or Systems in a Package
(SiP). The activities are executed in three different
scientific groups.
Für WLANs hoher Performance sollen Datenraten bis
100 Gbps bei Trägerfrequenzen bis zu 300 GHz erreicht
werden. Dazu wird insbesondere untersucht, inwieweit
die Grenze zwischen analogem und digitalem Design eine
wesentliche Rolle für die Geschwindigkeit und den Energieverbrauch des Systems spielt. Weitere wichtige Forschungsthemen sind Untersuchungen, die die „Quality of
Service“ im Hochlastbereich von drahtlosen Netzen verbessern sowie für die Erhöhung der Zuverlässigkeit von WLANs
zur Verwendung in sicherheitskritischen Anwendungen,
wie in der Fahrzeug-zu-Fahrzeug-Kommunikation, beitragen. Bei hohen Übertragungsraten werden Zusatzfeatures
wie Abstandsmessung und Augmented Reality (computergestützte Erweiterung der Realitätswahrnehmung) immer
wichtiger. Es wird an Lösungen zur sehr genauen Messung
von Abständen als integriertes Feature eines 60-GHzÜbertragungssystems gearbeitet. Bisher konnten bereits
Systeme mit 1-Gbps-Übertragungsrate und gleichzeitiger
Abstandsmessung von < 1cm gezeigt werden.
The target of high performance WLAN research is to
achieve a data rate of up to 100 Gbps at carrier frequencies of up to 300 GHz. For this, in particular, it
must be examined whether the boundary between
analog and digital design plays an essential role for
the speed and power consumption of the system.
Additional important fields of research include the
improvement of “Quality of Service” in the high load
region of wireless networks as well as investigations
to increase the reliability of WLANs for security-sensitive applications such as car-to-car communication.
At higher transmission rates additional features, like
ranging and augmented reality become more relevant. We work on solutions for very precise ranging
as an integrated feature of a 60 GHz transmission
system. Systems with 1 Gbps transmission rate and
simultaneous ranging < 1cm have already been demonstrated.
Die Forschung zu Systemen mit geringem Energieverbrauch hat zum Ziel, Sensornetze auf Basis hochintegrierter Chips oder SoC zu realisieren. In diesem Zusammenhang werden neue Netzarchitekturen, verteilte,
ressourcenarme Middleware-Ansätze, neue energieeffiziente Medienzugriffsprotokolle sowie energieeffiziente Transceiver erforscht und realisiert. Insbesondere
sind Fragen der Zuverlässigkeit zu beantworten, die in
Anwendungen mit sehr kurzen Latenzzeiten eine große
Rolle spielen. Bis heute ist es weltweit nicht gelungen,
für die Fabriksteuerung drahtlose Systeme zu realisieren,
The research on systems with low energy consumption is directed towards sensor networks on single
chips or SoC. In this context new network architectures, distributed low resource middleware concepts,
new energy-efficient protocols for media access as
well as energy-efficient transceivers are investigated
and realized. In particular it is important to answer
questions of reliability which play an important role
in applications with very short latency times. To this
day worldwide, it has not been possible to realize
wireless systems for factory control that reach laten-
Annua l
Re p or t
2013
F o r sc h u n g
d e s
I HP
–
I HP ‘ s
R e s e a r c h
die Latenzzeiten unter 1 ms mit Bitfehlerraten von < 10-9
erreichen. Hier liegt eine große Herausforderung, da für
Industrie 4.0 solche Systeme unbedingt gebraucht werden. Mittels eines neuartigen Ansatzes zur Parallelisierung versucht das IHP hier in den Bereich von 10-100 µs
Latenzzeit zu gelangen. Die Sicherheit von drahtlosen
Komponenten im Bereich der Sensornetze spielt eine
immer wichtigere Rolle. Das Institut arbeitet schon seit
einigen Jahren sehr erfolgreich auf dem Gebiet der eingebetteten sicheren Systeme und der Kryptoprozessoren
und wird dies im Rahmen von Forschungsprojekten für
Industrie 4.0 noch verstärken. UWB-Technologien auf
der Basis des Standards IEEE 802.15.4a sind Beispiele
für drahtlose Kommunikation im Nahbereich und zusätzlich hohe Ortsauflösungs-Eigenschaften. Weiterhin
arbeitet das IHP an neuartigen drahtlosen „WakeUp“Systemen und -Technologien. Diese dienen dazu, einen
Sensorknoten nur dann zu aktivieren, wenn ein äußeres
Ereignis eintritt und der Knoten aktiv werden muss. Damit passt sich der Knoten an die asynchrone Realität an
und kann äußerst energieeffizient aufgebaut werden.
cy times under 1 ms with bit error rates < 10-9. This is
a major challenge, because these systems are absolutely necessary for industry 4.0. By means of an innovative approach of parallelization IHP tries to reach
a latency time of 10-100 µs. The security of wireless
systems in the field of sensor networks becomes more
and more important. For some years, IHP has been
working in the fields of embedded systems and crypto processors and will intensify this work within the
framework of research projects for industry 4.0. UWB
technologies based on the standard IEEE 802.15.4a
are examples of short-range wireless communication
with an additional high spatial resolution. Furthermore, IHP is working on new wireless “wake-up“ systems and technologies. These are used to activate a
sensor node only when triggered by an external event
and the node must be active. Thus, the node adjusts
to the asynchronous reality and can be set up in an
extremely energy-efficient manner.
Die Forschung zu kontextabhängigen Middleware-Systemen betrifft insbesondere auch die Erhaltung der Privatsphäre und die Sicherheit bei der Nutzung mobiler Endgeräte. Dazu werden modulare Kryptoprozessoren sowohl
für AES (Advanced Encryption Standard) – als auch für
unterschiedliche ECC (Elliptic Curve Cryptography)-Verfahren untersucht und entwickelt. Zusätzlich werden
unterschiedliche Verfahren für die digitale Signatur zur
Überprüfung der Authentizität von drahtlosen Nachrichten untersucht. Neuartige Radarsysteme werden für
verschiedene Anwendungsszenarios wie Umweltsensorik
und altersgerechte Assistenzsysteme (AAL) entwickelt.
Research in context-sensitive middleware systems
especially addresses privacy and security matters in
using mobile devices. In this context, modular crypto
processors for AES (Advanced Encryption Standard)
as well as for different ECC (Elliptic Curve Cryptography) techniques are investigated and developed.
Additionally, different techniques for the digital
signature for authenticity checks of wireless messages are investigated. New radar systems are developed for use in different application scenarios such as environmental sensing and age-based
assisted living.
Bei der Entwicklung von Methoden zur Erhöhung der
Zuverlässigkeit und Testbarkeit von Schaltungen werden Bibliotheken für CMOS-Technologien untersucht
und realisiert, die die Strahlungsfestigkeit von Schaltungen erhöhen. Im Bereich des Logikdesigns werden
unterschiedliche Verfahren zur Redundanzerhöhung kritischer Pfade untersucht. Die Hardware wird für spezielle
Signalkonfigurationen optimiert. Darüber hinaus werden Speichergeneratoren entwickelt, die unterschiedliche
CMOS libraries for higher radiation hardness are investigated and realized in the context of higher reliability and testability of circuits. For digital designs
different procedures for obtaining higher redundancy
in critical paths are investigated. Hardware will be
optimized for special signal configurations. Furthermore, memory generators for different memory types
are developed. Additional tasks are EDAC (Error Detection And Correction) techniques for data correc-
An n ual
R ep ort
2013
11
F o r sc h u n g
12
d e s
I HP
–
I HP ‘ s
R e s e a r c h
Speichertypen unterstützen. EDAC (Error Detection And
Correction)-Techniken zur Datenkorrektur in Speichern
gehören zum Portfolio. Der Test aller im IHP entwickelten
digitalen Schaltungen wird als Dienstleistung angeboten. Neue, GALS (global asynchron, lokal synchron)basierte Methoden zum Design von Schaltungen mit
geringer Eigenstrahlung für den Einsatz im Weltraum
oder in Fahrzeugen werden entwickelt. Die Untersuchungen der zuverlässigen Systeme erstrecken sich
auch auf die Zuverlässigkeit von Speichern und SoCs.
Hierzu arbeitet das IHP an innovativen Konzepten für
Speicherkontroller, die dynamisch fehlerhafte Daten
reparieren, Speicherblöcke austauschen und Speichersequenzen reorganisieren, sowie an Multiprozessorstrukturen, die dynamisch unterschiedliche Verhaltensmuster
bezüglich der Zuverlässigkeitsanforderungen annehmen
können.
tion in memories and testing of all digital IHP-circuits as a service. New GALS (Globally Asynchronous
Locally Synchronous) based design methods are developed for low EMR applications in space or in automotive environments. The investigations of reliable
systems extend to the reliability of memory and SoCs.
For this, the group is working on innovative concepts
for memory controllers that dynamically repair faulty
data, replace memory blocks and reorganize memory
sequences as well as on multiprocessor structures
that can take on the dynamically different behavior
patterns with respect to the reliability requirements.
Ein neues Arbeitsgebiet ist das 3D-Stacking. Es wird ein
Labor zur Vorbereitung der Fertigung von prototypischen
Systemen aufgebaut, das alle Prozessschritte von der Vereinzelung, dem Pick-and-Place bis hin zum Bonding, der
SMD (oberflächenmontierte Bauelemente)-Bestückung
und dem Flow-Löten unterstützt. Sobald die technologischen Voraussetzungen für das Stacking (die SiliziumDurchkontaktierung) abgeschlossen sind, werden auch
diese Prozessschritte im Labor mit aufgenommen. Damit
wird die Möglichkeit der Integration von heterogenen
Technologien in ein System geschaffen und die Flexibilität der IHP Systemrealisierungen erhöht.
A new field of activity for IHP is 3D stacking. A new
laboratory for the preparation of manufacturing of
prototype systems will be built up, which will support
all process steps from dicing, pick and place to bonding, but also SMD (Surface Mounted Device) placement and flow-soldering. As soon as the technological conditions for stacking (Through Silicon Vias) are
fulfilled, these process steps will also be established
in the laboratory. This allows integrating heterogeneous technologies in a system and increases the
flexibility of IHP system realizations.
Hochfrequenz-Schaltkreise
RF Circuits
Im Programm „Hochfrequenz-Schaltkreise“ werden integrierte mm-Wellen-Schaltkreise und Frequenz-Synthesizer, Breitband-Mischsignal-Schaltkreise sowie Schaltkreise für drahtlose Anwendungen mit sehr geringem
Energieverbrauch entwickelt und als Prototypen realisiert.
In this program integrated mm-wave circuits and frequency synthesizers, broadband mixed-signal circuits
and circuits for ultra-low-power wireless applications
will be designed and realized as prototypes.
Integrierte Millimeterwellen-HF-Schaltkreise, wie beispielsweise Sende- und Empfangsschaltungen und Frequenz-Synthesizer zum Einsatz in der drahtlosen Kommunikation, sind weiterhin ein Arbeitsschwerpunkt. Derzeit
Integrated millimeter-wave RF circuits such as transmitter and receiver circuits and frequency synthesizers for wireless communication at roughly 60 to 720
GHz are still a key area. In the future they should
Annua l
Re p or t
2013
F o r sc h u n g
d e s
I HP
–
I HP ‘ s
R e s e a r c h
werden Schaltungen bei Frequenzen von etwa 60 bis
720 GHz entwickelt. Sie sollen in Zukunft Anwendungen
im Bereich der drahtlosen Kommunikation mit Bandbreiten von über 25 GHz und Datenraten bis zu 100 Gbps
ermöglichen. Die Erschließung des sub-THz-Bereiches in
der Schaltungstechnik ermöglicht zunehmend auch Anwendungen im Bereich der Spektroskopie und der Nahfeld-Sensorik zur Stoffanalyse. Damit können auch für
solche Anwendungsfelder preiswerte elektronische Schaltungen auf Si-Basis bereitgestellt werden. Ähnliches gilt
auch für sub-THz Radar- und Bildgebungsanwendungen
für Sicherheitstechnik und zerstörungsfreie Materialprüfung. Die Entwicklung der On-Chip-Mikrofluidik wird in
Kombination mit den Schaltungen und Sensorstrukturen
zu Fortschritten in Richtung Lab-on-Chip führen.
enable applications in wireless communication with
data rates up to 100 Gbps at a bandwidth of more than
25 GHz. The development of the sub-THz region in
the circuit design increasingly also enables applications in spectroscopy and short-range sensor systems
for material analysis. It might be possible for such
fields of application to provide low-cost electronic
silicon-based circuits. The same applies to sub-THz
radar- and imaging applications for security systems
and nondestructive testing of materials. The development of on-chip microfluidics in combination with
circuits and sensor structures will lead to advances in
the direction of lab-on-a-chip.
Für die glasfasergestützte Breitbandkommunikation
werden Konzepte und elektronische Komponenten für
höchste Datenraten entwickelt. Mit Einzelschaltungen,
die Datenraten ≥56 Gbps pro Faser und Wellenlänge bedienen können, werden Systemübertragungsraten von
400 Gbps und mehr möglich. Dabei werden zunehmend
komplexe optische Modulationsverfahren eingesetzt, die
die Linearitätsanforderungen an die Schaltungen erheblich verschärfen. Auch die Anforderungen an A / D- und
D / A-Wandler werden dadurch immer größer. Eine neue
Qualität kann durch die Kombination von Siliziumphotonik und Ansteuerelektronik auf einem Chip erreicht
werden, weil dann heute noch übliche signifikante parasitäre Elemente vermeidbar sind.
Concepts and electronic components for fiber-optical
broadband communication systems will be developed for maximum data rates. With single circuits that
can operate with data rates ≥56 Gbps per fibre and
wavelength, system transmission rates of 400 Gbps
and more will become realistic. Increasingly, complex
optical modulation procedures will be used, which seriously intensify the linearity demands on circuits. By
this, the requirements on A / D- and D / A- converters
will also increase. A new quality can be reached by
the combination of silicon photonics and electronics
on one chip, because significant parasitic elements,
that are still common, can be avoided.
Extrem energieeffiziente Sende- und Empfangsschaltungen und HF-Komponenten spielen in drahtlosen
Sensornetzen und generell in mobilen Anwendungen
eine immer wichtigere Rolle. Hierzu werden innovative
Impuls-Radio UWB-Transceiver und Schaltungen für
Wake-Up-Empfänger entwickelt, mit deren Hilfe die geforderte Batterie-Lebensdauer von zehn Jahren erreicht
werden soll. Dabei spielt die Einbeziehung von passiven
Bauelementen mit sehr hoher Güte in die Schaltungen
sowie deren mögliche On-Chip-Integration eine entscheidende Rolle. Weitere schaltungstechnische Herausforderungen sind die systematische Unterstützung von
Power-Management-Fähigkeiten und die Robustheit ge-
Extremely energy-efficient transmitter and receiver
circuits and RF components play an increasingly important role in wireless sensor networks and in general
in mobile applications. Ultra-low-power RF frontends
and components are developed for wireless sensor
networks. For this, innovative impulse UWB transceivers and circuits for wake-up-receivers are investigated that should help to achieve the required ten years
battery lifetime. At the same time the inclusion of
passive components with a very high quality in the
circuits and their possible on-chip integration play a
crucial role. Other circuit technology challenges are
the systematic support of power-management-abi-
An n ual
R ep ort
2013
13
F o r sc h u n g
14
d e s
I HP
–
I HP ‘ s
R e s e a r c h
genüber widrigen Spannungsversorgungsbedingungen
(z.B. schwächer werdende Batterie, wechselnde Bedingungen beim Energy Harvesting).
lities and the robustness against unfavorable power
supply conditions (e.g. a weakening battery, changing conditions during energy harvesting).
Technologieplattform für drahtlose und Breitbandkommunikation
Technology Platform for Wireless and Broadband
Communication
Siliziumbasierte Technologien für integrierte Schaltungen zielen auf kleinere Transistoren, eine höhere Anzahl Transistoren und höhere Arbeitsfrequenzen ab. Mit
Erreichen des Nanometer-Bereiches müssen laterale und
vertikale Strukturen prozessiert werden, die fast atomare Abmessungen haben („More Moore“-Ansatz der ITRS,
der International Technology Roadmap for Semiconductors). Außerdem werden neuartige Bauelemente und
Technologien entwickelt, um CMOS-Technologien noch
weiter zu skalieren bzw. um Lösungen jenseits von Silizium-CMOS-Technologien zu erarbeiten.
Future silicon-based integrated circuits technology
is targeting at reduced transistor dimensions, an increasing number of transistors and higher operating
frequencies. By reaching the nanometer scale region,
lateral and vertical structures which are close to atomic dimensions have to be processed (“More Moore”
approach of the International Technology Roadmap
for Semiconductors, ITRS). Moreover, emerging research devices and technologies are under investigation to further extend the CMOS technology or to
evaluate solutions beyond Si CMOS technologies.
Entsprechend der ITRS zielt der alternative „More than
Moore“-Ansatz auf Diversifikation durch die Kombination verschiedener Technologien mit angemessenem
Skalierungsniveau. Das hier beschriebene Forschungsprogramm des IHP basiert auf einer „More than Moore“Strategie der modularen Erweiterung von BiCMOS-Technologie für die drahtlose und Breitbandkommunikation
und Sensorik. SiGe-BiCMOS-Technologien kombinieren
schnelle SiGe-HBTs mit der Rechenleistung von CMOS auf
einem Schaltkreis.
According to the ITRS, the alternative “More than
Moore” approach is targeting diversification by combining different technologies based on a reasonable
scaling level. This IHP research program is based
on a “More than Moore” strategy targeting a modular extension of BiCMOS technology for wireless and
broadband communication and sensor systems. SiGe
BiCMOS technologies combine high speed SiGe HBTs
and computing power of CMOS on a single chip.
Die Hochfrequenzeigenschaften von HBTs konnten in den
letzten Jahren erheblich verbessert werden und haben
jetzt 500 GHz erreicht. Damit ermöglichen sie Anwendungen im Millimeterwellen-Bereich wie beispielsweise
Fahrzeugradar (77 GHz), Glasfaserverbindungen mit
hohen Datenraten (>100 Gbps) und drahtlose Verbindungen im Gbps-Bereich (60 GHz, 122 GHz). Das nächste Forschungsziel ist eine HBT-Generation mit 700-GHzGrenzfrequenz.
RF performance of HBTs has been significantly improved over the years, reaching 500 GHz now and
enabling mm-wave applications such as automotive
radar (77 GHz), high data rate fiber links (>100 Gbps)
and Gbps wireless links (60 GHz, 122 GHz). The next
research goal is a generation of HBTs with 700 GHz
cut-off frequency.
In einem „More than Moore“-Ansatz wird die Funktionalität der BiCMOS-Technologie durch die Integration
optischer Komponenten (Siliziumphotonik) und MEMSStrukturen erweitert. Darüber hinaus wird die monoli-
In a “More than Moore” approach the functionality
of the BiCMOS technology is extended by integrating
optical components (silicon photonics) and MEMS
structures. Moreover, the monolithic or hybrid hete-
Annua l
Re p or t
2013
F o r sc h u n g
d e s
I HP
–
I HP ‘ s
R e s e a r c h
thische bzw. hybride Heterointegration von Silizium- und
III / V-Verbindungshalbleitern untersucht, die neuartige
System-on-Chip-Lösungen ermöglichen.
ro-integration of Si and III / V compound semiconductor technologies are under investigation enabling
new System on Chip solutions.
Die in diesem Forschungsprogramm entwickelten Technologien werden Designern als Multi-Projekt-Wafer-Service für innovative Schaltungsentwürfe angeboten. Der
Zeitplan der technologischen Durchläufe in der Pilotlinie
ist auf der Homepage des IHP verfügbar.
The technologies developed within this program are
offered to designers in a Multi Project Wafer Service for innovative circuits solutions. The schedule
for technological runs in the pilot line in Frankfurt
(Oder) can be found on IHP‘s website.
Materialien für die Mikro- und Nanoelektronik
Materials for Micro- and Nanoelectronics
Im Forschungsprogramm „Materialien für die Mikround Nanoelektronik“ wird zur längerfristigen Sicherung
der technologischen Innovationskraft des Institutes
an der Integration neuer funktioneller Modulkonzepte
in moderne Silizium-BiCMOS-Technologien gearbeitet.
Materialien haben einerseits hohes Potential für „disruptive approaches“ in der weiteren Entwicklung der
Si-Mikroelektronik, bedürfen aber andererseits einer besonders langfristig orientierten Entwicklungsarbeit zur
Erreichung der erforderlichen Kontrolle für zuverlässige
Technologien. Von besonderer Bedeutung sind hierbei
am IHP „More than Moore“-Materialansätze für die Gebiete künftiger Terahertz-, Photonik- und BiomedizinAnwendungen.
Focus of the research program “Materials for Microand Nanoelectronics” is to secure the institute’s
longterm technological innovation power by the integration of new functional module concepts in modern
silicon BiCMOS technologies. Materials have on the
one hand a high potential for “disruptive approaches”
in the further development of Si microelectronics, but
on the other hand need a particular long-term development work to achieve the necessary control for
reliable technologies. Of particular importance here
at IHP are “More than Moore” material-approaches on
the fields of future terahertz, photonic and biomedical applications.
Bei der erkundenden Untersuchung innovativer Materialien werden neuartige Materialien mit einem hohen
Potential für zukünftige Anwendungen in den Bereichen
THz und Photonik in der Silizium-Mikroelektronik in
einem frühen Stadium bewertet. Im Fokus steht heute das Materialsystem Graphen, das aufgrund seiner
beeindruckenden Eigenschaften (Stabilität, Leitfähigkeit etc.) verspricht, derzeitige Grenzen der SiliziumMikroelektronik zu überwinden. Spezifisch widmet sich
die Arbeitsgruppe dem vom IHP patentierten GraphenBasis-Transistor (GBT) im Hinblick auf potentielle THzAnwendungen. Zentrale Forschungsaufgaben der SiCMOS-kompatiblen Graphen-Prozessintegration werden
hierbei in Angriff genommen wie z. B. die kontrollierte
Herstellung von Graphen hoher Qualität mittels selektiver Verfahren der Gasphasenabscheidung in definierten
Isolator-Fenstern des späteren Bauteils oder die Abschei-
The “Exploratory Materials Research” is concerned
with the evaluation of new materials with a high potential for future terahertz and photonic applications
in silicon microelectronics at a very early stage. In
the focus today is the material graphene that, because
of its impressive properties (stability, conductivity,
etc.), promises to overcome current limitations of
silicon microelectronics. Specifically, the IHP is working on the patented graphene transistor with regard
to potential THz applications. Main research tasks of
the Si CMOS compatible graphene process integration
are tackled, like the controlled production of highquality graphene by selective methods of chemical
vapor deposition in defined isolator-windows of the
later device or the deposition of insulating layers
with high electrical performance on graphene. Thus,
IHP plays an essential bridge-building role between
An n ual
R ep ort
2013
15
F o r sc h u n g
16
d e s
I HP
–
I HP ‘ s
R e s e a r c h
dung von Isolationsschichten hoher elektrischer Güte auf
Graphen. Das IHP übernimmt somit in der europäischen
Graphen-Forschung eine wichtige Brückenfunktion zwischen Universitäten und der Industrie in Bezug auf die
Erforschung der Si-CMOS-kompatiblen-Prozessintegration.
universities and industry in the field of graphene research, especially in respect of the investigation of
Si CMOS compatible process integration.
Die Forschungsarbeiten im Bereich „Front-End-of-Line“
(FEOL) zielen auf die Integration qualitativ hochwertiger alternativer Halbleiterstrukturen in die SiliziumWafer-Plattform ab. Diese Arbeiten dienen folglich dazu,
fundamentale Grenzen der Siliziumtechnologie aufgrund
der physikalischen Materialparameter des Siliziums zu
überwinden. Im Fokus stehen hierbei Germanium Mikround Nano-Halbleiterstrukturen auf Si mit maßgeschneiderten Verspannungseigenschaften zur Optimierung
nicht nur der heteroepitaktischen, sondern insbesondere
der optoelektronischen Eigenschaften. Germanium als
Silizium-CMOS-kompatibler Halbleiter ist von hohem
Forschungsinteresse für die Siliziumphotonik, um mittels
neuartiger Konzepte nicht nur Detektoren und Modulatoren effizienter zu gestalten, sondern um sogar Silizium-CMOS-kompatible Laserlichtquellen zu integrieren.
The research in “front-end of line” (FEOL) targets the
integration of high quality alternative semiconductor
structures on the mainstream Si wafer platform. This
work thus intends to overcome fundamental limitations of silicon technology due to the physical parameters of the silicon material. The focus is currently
on Germanium (Ge) micro- and nano-semiconductorstructures on Si with tailored strain properties regarding the optimization not only of heteroepitaxial, but
especially of optoelectronic properties. Germanium,
as a silicon CMOS compatible semiconductor, is of
high research interest for silicon photonics by means
of novel concepts, not only to improve efficiency of
detectors and modulators, but to integrate Si CMOS
compatible laser light sources.
Die Integration eingebetteter nichtflüchtiger Speichermodule in das „Back-End-of-Line“ (BEOL) ist von zentraler Bedeutung für die Leistungsfähigkeit und Vielseitigkeit komplexer, drahtloser Sensornetze. Das IHP ist
aufgrund der Verknüpfung des Know-how von Material-,
Technologie- und Systemabteilung unter den führenden
europäischen Instituten bei der Erforschung und Bewertung des Potentials HfO2-basierter, widerstandsgeschalteter RRAM-Speicheransätze. Neben der Grundlagenphysik zum Verständnis und zur Optimierung des resistiven
Schaltens erforscht das IHP komplexe 4-kbit-RRAMArrays als Testmodule zur statistischen Bewertung der
Speichermodule. Das IHP liefert somit einen zentralen
Beitrag in der europäischen RRAM-Technologieforschung
im Hinblick auf eingebettete Speichermodule, die in einer Vielzahl von Anwendungen eine tragende Rolle spielen.
Embedded non-volatile memory module integration
in the “back-end of line” (BEOL) is of high importance
to build up efficient complex wireless sensor networks. The linking of know-how between materials
research, technology and system design characterizes
the IHP as a leading European institute in the fields
of research and evaluation of the potential of HfO2based resistive switching RRAM memory approaches.
Besides basic research to understand and optimize
resistive switching, IHP furthermore explores complex 4 kbit RRAM-arrays as test modules for statistical
evaluation of the memory modules. IHP provides a
key contribution in European RRAM technology research with regard to “embedded memory” modules
that have a supporting role for a large number of applications.
Annua l
Re p or t
2013
F o r sc h u n g
d e s
I HP
–
I HP ‘ s
R e s e a r c h
Ein weiteres BEOL-Projekt erforscht das „interfacing“
zwischen Mikroelektronik und Biomedizin: Mittels eines
am IHP entwickelten Integrationsansatzes werden aluminiumnitridbasierte, akustische OberflächenwellenFilter Silizium-CMOS-kompatibel integriert und können
mittels geeigneter Oberflächenfunktionalisierung in
der Biomolekül-Sensorik neue Anwendungen für die
IHP-BiCMOS-Technologie erschließen. Weitere biomedizinische Sensorkonzepte werden zurzeit durch die Material-, Technologie- und Schaltkreisabteilung bewertet.
Another BEOL project explores the “interfacing” between microelectronics and biomedicine: by means of
an IHP-developed integrative approach, aluminum
nitride based, surface acoustic wave filters are integrated Si CMOS compatible and can be applied as
sensors for biomolecules for IHP BiCMOS technology
after functionalizing the surface in a suitable way.
Further biomedical sensor concepts are currently
being evaluated by the departments materials research, technology and circuit design.
Um die hohen Anforderungen moderner Silizium-Schaltkreise zu erfüllen ist eine Materialcharakterisierung mit
hoher Auflösung und Sensitivität bis hinab in den Nanobereich unabdingbar. Neben den laborbasierten Verfahren stellt daher die Nutzung des Potentials moderner
Synchrotron-Quellen der 3. Generation in Europa (Petra
III in Hamburg, ESRF in Grenoble, MaxLab in Schweden)
ein wesentliches Standbein der Materialforschung am
IHP dar. Hierbei führt das IHP z. B. in-operando Studien direkt an Bauteilsystemen mittels zerstörungsfreier
Synchrotron-Verfahren durch, um möglichst realistische
Einblicke in die Materialphysik des Bauteils zu erlangen. Ferner eröffnet das IHP als Partner Industrieunternehmen Zugang zu spezifischen Synchrotron-Diagnostikverfahren, die die heutigen Möglichkeiten mittels
Laborverfahren bei Weitem übertreffen und zentrale
Fragestellungen bei der Materialentwicklung der Unternehmen lösen.
To meet the high demands of modern silicon circuits,
a material characterization with high resolution and
sensitivity down to the nanoscale is essential. In addition to laboratory-based techniques, the use of the
potential of modern 3rd generation European synchrotron sources (Petra III in Hamburg, ESRF in Grenoble,
MaxLab in Sweden) is an essential pillar of materials
research at IHP. Here, the IHP carries out for example,
“in-operando” studies directly at component systems
with the help of non-destructive synchrotron techniques, to get an as realistic as possible insight into
the components materials physics. Furthermore IHP
as a partner of industrial enterprises opens up access to specific synchrotron diagnostic procedures,
which far exceeds today’s possibilities of laboratory
methods and solves central questions of materials
development.
Gegenstand der Arbeiten im Gemeinsamen Labor mit der
BTU Cottbus-Senftenberg ist die Silizium-Materialforschung. Dabei sollen die Eigenschaften des Si-Materials
maßgeschneidert werden, um neue Anwendungen zu ermöglichen und um bestehende zu verbessern.
Silicon materials research is the subject matter of the
Joint Lab IHP / BTU Cottbus-Senftenberg. Silicon properties are tailored to enable new applications and to
improve existing ones.
An n ual
R ep ort
2013
17
Update 2013
18
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
Das Jahr 2013
Update 2013
Im Dezember 2013 feierte das IHP sein 30-jähriges
Bestehen. Was 1983 als Institut für Halbleiterphysik
(IHP) begann, hat sich zu einem international renommierten Forschungsinstitut entwickelt. Gemeinsam
mit zahlreichen Wegbegleitern und Gästen aus den Bereichen Wissenschaft, Industrie und Politik beging das
IHP im Rahmen einer Festveranstaltung dieses Jubiläum. Der Brandenburger Ministerpräsident Dr. Dietmar
Woidke betonte in seinem Grußwort, dass das IHP bereits „seit vielen Jahren ein Hightech-Flaggschiff“ sei
und „Hightech made in Brandenburg“ produziere. Prof.
Dr. Wolf-Dieter Lukas vom Bundesministerium für Bildung und Forschung unterstrich die Bedeutung des IHP
für die deutsche Forschungslandschaft. Prof. Dr. Hermann Grimmeiss (Mitglied der Königlich-Schwedischen
Akademie der Wissenschaften) verwies auf die Rolle
des IHP als Brücke zwischen den Universitäten und
der Industrie und seine Vision, signifikante Beiträge
zur Erhöhung der Innovationskraft Deutschlands und
Europas auf gesellschaftlich und wirtschaftlich besonders relevanten Gebieten zu leisten. Deshalb betrachtet
er das IHP als ein Musterbeispiel der Leibniz-Gemeinschaft. Einer der Gründe für die Weltspitzenleistungen
des IHP ist die „Tatsache, dass im IHP in einer vertikalen Struktur in einer in Deutschland herausragenden
Konstellation Materialforschung, Prozesstechnologie,
Schaltungsdesign und Systementwurf zusammenwirken“. Ein Beispiel für die erfolgreiche Zusammenarbeit
mit der Industrie im Rahmen der Kleinserienfertigung
von Spezialschaltkreisen präsentierte Gerhard Kahmen
von Rohde & Schwarz in seinem Vortrag „Spitzentechnologie Made in Germany“.
In December 2013, IHP celebrated its 30th anniversary. Started in 1983 as the Institute for Semiconductors Physics/ Institut für Halbleiterphysik (IHP), it
has developed into an internationally renowned research institute. Together with numerous partners
and guests from science, industry and politics, the
IHP celebrated this anniversary within the scope of
a festive event. Dr. Dietmar Woidke, Prime Minister of
Brandenburg, highlighted in his word of welcome that
IHP has “for many years been a high-tech flagship”
and produces “High-tech made in Brandenburg”.
Prof. Dr. Wolf-Dieter Lukas (Federal Ministry of Education and Research) emphasized the importance of
IHP for the German research landscape. Prof. Dr. Hermann Grimmeiss (Member of the Royal Swedish Academy of Sciences) referred to IHP’s role as a “bridge
between universities and industry” and its vision “to
make a significant contribution to enhance the innovative strength of Germany and Europe in socially
and economicly especially relevant fields”. Therefore,
Grimmeiss sees IHP as a perfect example within the
Leibniz Association. One of the reasons for IHP’s
world class performance is “the fact, that at IHP Materials Research, Process Technology, Circuit Design and
System Design interact in a vertical structure which
is an outstanding constellation in Germany.” Gerhard
Kahmen (Rohde & Schwarz) presented an example of
the successful cooperation with industry in the frame
of small series production of special circuits in his
talk “Leading-edge technology ’Made in Germany’”.
Im Anschluss an die Festveranstaltung erfolgten die
feierliche Übergabe und Eröffnung des Erweiterungsbaus. Dieser, vom Land Brandenburg und dem Bund
mit 8,7 Mio. € finanzierte Bau, bietet Platz für über
70 Mitarbeiter / -innen sowie für zusätzliche Seminarund Konferenzflächen.
The event was followed by the ceremonial opening of
the extension building. This building, financed with
8.7 Million Euro by the State of Brandenburg and the
German Government, provides space for more than
70 employees as well as additional seminar and conference rooms.
An n ual
R ep ort
2013
19
Das
20
Jah r
2 0 1 3
–
U p date
2 0 1 3
„Hightech made in Brandenburg“: Grußworte des Brandenburger
Ministerpräsidenten Dr. Dietmar Woidke.
“Hightech made in Brandenburg”: Welcoming speech for the
30th anniversary of IHP by the Prime Minister of Brandenburg,
Dr. Dietmar Woidke.
Von links: Architekt Prof. Dr. G. Henn, Oberbürgermeister
Dr. M. Wilke, Wissenschaftsministerin Prof. Dr. S. Kunst,
Prof. Dr. W. Mehr (IHP), Prof. Dr. W.-D. Lukas (BMBF).
From left: Architect Prof. G. Henn, Mayor Dr. M. Wilke,
Minister of Science Prof. S. Kunst, Prof. W. Mehr (IHP),
Prof. W.-D. Lukas (BMBF).
Mit diesen beiden Festakten beendete des IHP wieder
ein besonders erfolgreiches Jahr. So konnten 2013 die
Drittmitteleinnahmen auf 13,8 Mio. € gesteigert werden. Damit gehört das Institut zu den drittmittelstärksten Forschungsinstituten der Leibniz-Gemeinschaft.
Erreicht wurde dies durch die erfolgreiche nationale
und internationale Zusammenarbeit in über 70 verschiedenen Forschungsprojekten. Die Ergebnisse der
Forschungsarbeit wurden 2013 in 236 Publikationen
sowie 296 Vorträgen veröffentlicht und es wurden
19 Patente eingereicht. Die inhaltlichen Ergebnisse
und Erfolge dieser Arbeit können den weiteren Ausführungen und Projektbeschreibungen dieses Jahresberichtes entnommen werden.
These two ceremonies completed another particularly
successful year. Thus, in 2013, it was possible to increase the third-party funds to 13.8 Million Euro. As
such the IHP is one of the most successful institutes
in generating external funding within the Leibniz Association. This was achieved by successful national
and international cooperation in more than 70 different research projects. The research results in 2013
were presented in 236 publications and 296 talks.
Furthermore, 19 patent applications were filed. The
substantive results and success of these activities
can be gathered from the following project descriptions of this annual report.
In diesem Jahr gelang es verstärkt, Projekttreffen und
Meetings direkt im IHP durchzuführen und zahlreiche
internationale Besuchergruppen von Wissenschaftlern
und Studierenden am Institut zu begrüßen. Dies reichte
von der DLR-Bauteilekonferenz im Februar über die Institutsbesichtigung der internationalen Konferenzteilnehmer der vom IHP und der TU Berlin organisierten
MEMSWAVE 2013, den am IHP durchgeführten 12. BiCMOS-Workshop & Tutorial im Oktober, dem 5. Bran-
This year, the institute succeeded in arranging project meetings directly at IHP and in welcoming an
increasing number of international visitors groups of
scientists and students. These ranged from the Component Conference of the DLR in February to institute
visits of international conference attendees of MEMSWAVE 2013, which was jointly organized by IHP and
TU Berlin, to the 12th BiCMOS-Workshop & Tutorial,
held at IHP, to the 5th Brandenburg sensor network
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
denburger Sensornetztag „Sensorik meets Medizin“ bis
zum EU-Projektmeeting TAMPRES im Dezember.
day “Sensors meet medicine” and to the EU project
meeting TAMPRES in December.
Motivierte und qualifizierte Mitarbeiterinnen und Mitarbeiter sind Voraussetzung für die erfolgreiche Arbeit
im IHP. Deshalb macht das Institut zahlreiche Angebote sowohl zur Vereinbarkeit von Familie und Beruf,
als auch zur weiteren Qualifikation der Beschäftigten.
Die Erfolge geben uns Recht. So erhielt das IHP 2013
zum zweiten Mal für die Dauer von drei Jahren das
„Total-E-Quality“-Prädikat für vorbildliche, an Chancengleichheit orientierte Personalpolitik. Zwei IHPAuszubildende von insgesamt neun schlossen diesen
Bildungsweg mit jeweils dem Prädikat „Bundesweit
bester Auszubildender“ ab. Ein Gemeinschaftsprojekt von IHP-Auszubildenden und Schülern des CarlFriedrich-Gauß-Gymnasiums erreichte den 5. Platz
im Bereich Physik im 38. Bundeswettbewerb „Jugend
forscht“ in Leverkusen.
Motivated and qualified employees are the pre-condition for successful work at IHP. Therefore, the institute provides a range of offers for compatibility of
family and career, as well as for further qualifications
of the employees. Our success proves us right. For
instance, in 2013, IHP received the TOTAL E QUALITY
Award for exemplary personal policy for the second
time, for a period of three years, which is orientated
to equal opportunities for men and women. Two of
nine IHP-apprentices completed their education each
with the rating “Germany’s best trainee”. A joint project of IHP-trainees and pupils of the Carl-FriedrichGauß-Gymnasium achieved the 5th place in the field
of physics at the federal contest “Jugend forscht” in
Leverkusen.
Empfang der „Jugend forscht“-Teilnehmer Pascal Berthold, Florian Berthold (C.-F. Gauß-Gymnasium Frankfurt (Oder)) und Niklas Kroh (IHP)
im Bundeskanzleramt durch Bundeskanzlerin Dr. Angela Merkel (von links).
Welcome of “Jugend-forscht”-participants Pascal Berthold and Florian Berthold (C.-F. Gauß-Gymnasium Frankfurt (Oder)) and Niklas Kroh (IHP)
at the Federal Chancellery by Chancellor Dr. Angela Merkel (from left).
An n ual
R ep ort
2013
21
Das
22
Jah r
2 0 1 3
–
U p date
2 0 1 3
Um wissenschaftlichen Nachwuchs frühzeitig an das
IHP zu binden, wurde die Zusammenarbeit mit Hochschulen u. a. durch die Arbeit in den Joint Labs verstärkt
und weiter ausgebaut. 19 Studierende schrieben ihre
Abschlussarbeiten im Bachelor- oder Master- bzw.
Diplomstudiengang zu Forschungsthemen des Institutes. Mit der vom IHP organisierten Sommerschule
Mikroelektronik bietet das IHP darüber hinaus Studierenden in der vorlesungsfreien Zeit die Möglichkeit, sich
am IHP sowohl über Grundlagen der Mikroelektronik,
als auch über die neuesten Entwicklungen auf diesem
Gebiet zu informieren und mit IHP-Forschenden ins Gespräch zu kommen. Zur 12. Sommerschule kamen insgesamt 27 Bachelor- und Masterstudierende aus ganz
Deutschland nach Frankfurt (Oder).
In order to bind highly qualified young scientists to
IHP, the collaboration with universities was intensified and further expanded, e.g. with research projects
at IHP’s Joint Labs. 19 students finished their theses
on research activities of IHP in bachelor, master and
diploma studies programs. Furthermore, the Summer
School Microelectronics, organized by IHP, offers students the opportunity to gather information about
basics in microelectronics and the latest developments in this field at IHP during their non-lecture
period. Additionally, they have the chance to get into
a conversation with IHP-Scientists. All in all, IHP
welcomed 27 bachelor and master students from all
over Germany to its 12th Summer School in Frankfurt
(Oder).
Wissenschaftliche Ergebnisse
Im Folgenden wird auf ausgewählte wissenschaftliche Fortschritte in den Forschungsprogrammen des IHP hingewiesen.
Scientific Results
In the following selected scientific advances in the
research programs of IHP will be pointed out.
Drahtlose Systeme und Anwendungen
Im Rahmen des BMBF-Projektes PreLocate (Präzise Lokalisierung und Breitband-Kommunikation im 60-GHzBand) wurde ein Demonstrator entwickelt, der erstmals
eine Datenübertragung im 60-GHz-Band sowie eine Abstandsmessung gleichzeitig erlaubt. Dabei werden Datenraten von mehr als 1 Gbps unterstützt und die Genauigkeit
der Abstandsmessung beträgt etwa 1,5 cm. Damit sind
z.B. Anwendungen im Logistikbereich, wie die Navigation
von Gabelstaplern in Lagerhallen möglich oder auch die
drahtlose Anbindung von hochauflösenden Rückfahrkameras von LKW-Anhängern, verbunden mit einer Lagebestimmung des Anhängers relativ zur Zugmaschine.
Wireless Systems and Applications
Within the BMBF-project PreLocate (Precise Localisation and Broadband Communication in 60 GHz Band)
a demonstrator was developed and shown, that for the
first time allows data transfer in the 60 GHz Band as
well as distance measurements simultaneously. Data
rates of up to 1 Gbps are supported and the accuracy of distance measurements is about 1.5 cm. This
makes applications in the logistics area possible, like
the navigation of lift trucks in warehouses or the
wireless connection of high-resolution reversing cameras of truck trailers in connection with the determination of the truck trailer location relative to the
truck.
Im Rahmen des DFG-Schwerpunktprogrammes SPP1655
– „100 Gbps and beyond“, wurde durch das Joint Lab
mit der Humboldt-Universität zu Berlin das Projekt maximumMIMO eingeworben. In diesem Projekt werden
MIMO (Multiple Input, Multiple Output)-Systeme mit
3D-Antennenkonfigurationen entwickelt, die höchste
spektrale Effizienz unterstützen und so Datenraten bis
zu 100 Gbps erlauben. Darüber hinaus wurden über den
Within the DFG priority programme SPP1655 – “100
Gbps and beyond” the project maximumMIMO has been
acquired by the Joint Lab with HU Berlin. This project
comprises the development of MIMO (Multiple Input,
Multiple Output) Systems with 3D-antenna configuration that support highest spectral efficiency and allow
data rates up to 100 Gbps. Moreover, it was possible
to acquire two further projects via the chair of Prof.
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
Lehrstuhl von Prof. Kraemer an der BTU Cottbus-Senftenberg zwei weitere Projekte im Rahmen des Schwerpunktprogramms SPP1655, Real100Gb.com und End2-End100 eingeworben. Während im ersten Projekt ein
100 Gbps Übertragungssystem bei 250 GHz und einer
Bandbreiteneffizienz > 2 untersucht wird, soll in End2-End100 untersucht werden, wie diese extrem hohen
Datenraten vom Network-Interface-Controller (NIC) in
den Anwendungsbereich des Empfängerrechners gelangen. Dazu wird möglichst viel Intelligenz in die NIC
verlagert um den Host-Prozessor nicht mit Protokollarbeit zu belasten. Neben den drei Forschungsprojekten
im Rahmen von SPP1655 wurde auch noch das Koordinationsprojekt für die Gesamtkoordination im IHP
gestartet.
Kraemer at BTU Cottbus-Senftenberg, REAL100Gb.
com and End-2-End100, within the frame of SPP1655.
Whereas the first project is an investigation of a
100 Gbps transmission system at 250 GHz and a bandwidth efficiency > 2, the project End-2-End100 aims
to investigate how these extremely high data rates
of the network interface controller (NIC) reach the
application area of the receiver’s computer. For that
reason, as much intelligence as possible will be shifted to the NIC as not to burden the host processor
with too much protocol work. Apart from the three
research projects within the program SPP1655, the
coordination project for the overall coordination at
IHP was also started.
Auf Basis der Ergebnisse des Projektes OMEGA (Home
Gigabit Access) wurde ein ZIM (Zentrales Innovationsprogramm Mittelstand)-Projekt eingereicht und
bewilligt, welches gemeinsam mit der Firma devolo AG
durchgeführt wird. Dieses Projekt mit der Bezeichnung
AutoConfig2.5 zielt darauf ab, die Installation und
Einrichtung heterogener Heimnetze zu vereinfachen
und hinsichtlich Robustheit, Datenrate und Energieverbrauch zu optimieren.
Based on the results of project OMEGA (Home Gigabit Access), a ZIM project (Central Innovation Program SME), which will be carried out together with
the company devolo AG, was submitted and approved. This project, named AutoConfig2.5 intends to
simplify the installation and setup of heterogeneous
home area networks and to optimize them in terms of
robustness, data rate and energy consumption.
Das Projekt UltraSpread konnte eine erste FPGA (Field
Programmable Gate Array)-basierte Implementierung
eines PSSS 31 Systems zeigen. Das PSSS (Parallel Sequence Spread Spectrum)-Verfahren erlaubt eine besonders robuste Übertragung von Daten. Es wurden
erste Prototypen realisiert, die nun auch mit potentiellen Kunden getestet werden. Letztlich soll ein SingleChip-ASIC (anwendungsspezifische integrierte Schaltung) realisiert werden, das alle Komponenten des
Funksystems vereint und sehr kostengünstig produziert
werden kann. Dazu wurde eine Vereinbarung zwischen
dem IHP, dem IMST und der Dr. Wolf Wireless GmbH abgeschlossen.
The project UltraSpread was able to show a first FPGA
(Field Programmable Gate Array) based implementation of a PSSS31 system. The PSSS (Parallel Sequence
Spread Spectrum) procedure allows a particularly robust data transmission. First prototypes were realized
and will be tested with prospective clients. The ultimate goal is the realization of a single chip ASIC (Application Specific Integrated Circuit) that unites all
components of the sensor network and can be costeffectively produced. In this respect an agreement
between IHP, IMST and Dr. Wolf Wireless GmbH has
been signed.
Im ersten Halbjahr 2013 wurden 2 nationale und
3 europäische Projekte im Bereich Sicherheit und Sensornetze neu eingeworben. Die nationalen Projekte
adressieren vorrangig den Bereich Sicherheit, wobei
During the first half of 2013 two national and three
European projects have been newly acquired both in
the area of security and the area sensor networks. The
national projects are primarily focused on security,
An n ual
R ep ort
2013
23
Das
24
Jah r
2 0 1 3
–
U p date
2 0 1 3
das Projekt UNICOPS auf die Sicherung des korrekten
Systemverhaltens im Betrieb zielt, während die Nachwuchsforschergruppe MaSch zum Ziel hat, Methoden
für den Entwurf manipulationssicherer Schaltkreise zu
untersuchen. In dieser Nachwuchsforschergruppe ist insbesondere die direkte Kooperation der Sensornetzgruppe
mit der Abteilung Materialforschung hervorzuheben.
while the project UNICOPS aims at the security of the
practical system behavior at work. The research group
MaSch is targeting the investigation of methods for
the design of tamper-resistant circuits. Worthy of
mention is the direct cooperation between the sensor network group and the department Materials Research.
Die EU-Projekte SMARTIE und e-Balance adressieren
den Bereich Smart-Cities bzw. Smart-Home und werden vom IHP koordiniert. Das Projekt SAID untersucht
den Einsatz von Sensornetzen zur Verbesserung von
Wassermanagementsystemen und passt somit sehr gut
zu dem bereits laufenden Projekt Sens4U. In Sens4U
konnte ein erster Demonstrator aufgebaut werden und
in einem Rekultivierungsgebiet des Lausitzer Braunkohletagebaus getestet werden.
The EU projects SMARTIE and e-Balance address the
field of Smart Cities or Smart Home and are coordinated by IHP. The project SAID investigates the use
of sensor networks for the improvement of water management systems and therefore ideally fits the already running project Sens4U. A first demonstrator
was built and tested in a recultivation area of the
Lausitz brown coal open-pit mining.
Im Projekt ESCI wurde eine Architektur zum Schutz von
Industrieanlagen entwickelt, die erfolgreich auf der
Hannover-Messe präsentiert werden konnte. Hierzu gehören ein Topologie-Editor und ein Expertensystem zur
Erkennung von Abweichungen im Systemverhalten.
Architecture for the security of industrial plants has
been developed in the project ESCI and has been successfully shown at the Hannover Messe. This includes
a topology editor and an expert system to identify
deviations of system behavior.
Im Bereich der zuverlässigen und fehlertoleranten
ASIC-Design-Methoden wurden folgende zwei neue Projekte eingeworben. Das IC-NAO-Projekt zielt auf die
Entwicklung innovativer Methoden zur Reduzierung des
Schaltrauschens digitaler Schaltungen ab. Dazu greift
das Projekt die im IHP entwickelte Low-Noise DesignMethodik auf, welche eine Reduzierung des Rauschens
um mehr als 20 dB erreichen kann, wie es bereits in den
EU-Projekten GALAXY und SUCCESS demonstriert wurde.
Dieses Projekt wird vom renommierten Eurostars-Programm finanziert, wobei gemeinsam mit europäischen
(deutschen und dänischen) KMUs CAD-Werkzeuge zur
Minimierung des Schaltrauschens in synchronen digitalen Schaltungen entwickelt werden sollen.
In the field of reliable and error-tolerant ASIC designmethods two new projects have been acquired. The
project IC-NAO aims at the development of innovative methods to reduce the switching noise of digital
circuits. The project uses the low-noise-methodology
developed by IHP, which reaches a noise-reduction
of more than 20 dB as it has already been demonstrated in the EU projects GALAXY and SUCCESS. This
project is funded by the reputed eurostars program,
while CAD-tools to minimize the switching noise in
synchronous digital circuits will be developed together with European (German and Danish) SMEs.
Darüber hinaus wurde gemeinsam mit deutschen Industriepartnern im Rahmen einer Finanzierung durch
die DLR mit der Entwicklung eines ASIC-Chips für Satellitenanwendungen begonnen. Dieser Chip verfügt
über ein LEON-basiertes Mikroprozessorsystem mit Di-
Moreover, the development of an ASIC chip for satellite application has been started together with German industrial partners within the frame of an external DLR-funding. The ASIC implements a LEON-based
microprocessor system with digital and mixed-signal
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
gital- und Mixed-Signal-Schnittstellen. Der Chip soll als
Remote Terminal Unit (RTU) verwendet werden und verbindet Plattform-Computer mit Sensoren und Aktoren.
interfaces. The chip shall be used as a Remote Terminal Unit (RTU) and connects platform computers with
sensors and actuators.
Im EU-Projekt VHiSSi wird die Implementierung von
strahlungsresistenten Mikrochips für die Raumfahrt
zusammen mit einem internationalen Konsortium erforscht. Bislang konnten bereits erste strahlungsharte „Very High Speed Serial Interface“-Chips gefertigt
werden, welche viel versprechende Ergebnisse lieferten.
Ferner sollen weitere Chips in der IHP-Technologie umgesetzt und getestet werden.
Together with an international consortium the project VHiSSi examines the implementation of radiation-tolerant microchips for aerospace. Up to now, first
radiation-tolerant “Very High Speed Serial Interface”
chips with promising results have been produced.
Furthermore, additional chips in IHP-Technology are
to be realized and tested.
Zwei weitere DFG-Projekte MOTARO und GASEBO konnten erfolgreich über den Lehrstuhl von Prof. Kraemer
eingeworben werden. Während in MOTARO auf der Basis
der IHP Flash-Technologie Untersuchungen zur Verbesserung der FIT (Failure in Time)-Werte und zum Management von nichtflüchtigen Speichern durchführt werden,
werden in GASEBO-Untersuchungen zur Auswirkung von
GALS-Design auf das Substratrauschen durchgeführt.
Beide Projekte werden in enger Zusammenarbeit mit
der Abteilung Technologie bzw. der Materialforschung
durchgeführt. MOTARO-Ansätze können darüber hinaus
als Basis für die in Entwicklung befindlichen RRAMs
(Resistive Random Access Memories) dienen.
MOTARO and GASEBO, two further DFG-projects, have
successfully been acquired by the chair of Prof. Kraemer. Based on IHP-technology, the project MOTARO
will investigate the increase of FIT (Failure in Time)
values and the management of non-volatile memories, whereas GASEBO aims to research the impact of
GALS design on the substrate noise. Both projects
will be executed in close cooperation between the
departments technology and materials research.
MOTARO approaches can further be used as a base for
RRAMs (Resistive Random Access Memories) under
development.
In 2013 konnten 4 Promotionen erfolgreich abgeschlossen werden. Zwei davon wurde mit dem Prädikat
„Summa Cum Laude“ bewertet.
In 2013, four PhD theses were finished successfully.
Two of these were passed with summa cum laude.
Hochfrequenz-Schaltkreise
Weiterhin wird an Sende- und Empfangsschaltungen
für die Datenkommunikation mit sehr hohen Datenraten gearbeitet. Für 60-GHz-WLAN-Anwendungen wurde erstmalig ein 8-Port-Beamforming-Transceiver in
0,13-µm-SiGe-BiCMOS-Technologie entwickelt und gefertigt. Erste Messungen bestätigen die erwartete Funktionalität des Chips. Weitere ausführliche Messungen
sowie der Aufbau von Demonstrationsplatinen zum Test
des kompletten Systems sind die nächsten Schritte.
RF Circuits
IHP continues to work on transceiver circuits for
data communication with high data rates. An 8-Port
beamforming transceiver in 0.13 µm SiGe BiCMOS
technology has been developed and produced for
60 GHz WLAN applications for the first time. First
measurements confirm the chip’s expected functionality. Next steps are detailed measurements as well as
the design of demonstration boards for testing of the
complete system.
Im Frequenzbereich um 245 GHz konnte die MMIC
(integrierte Millimeterwellen-Schaltungen)-Entwick-
The MMIC (Millimeter Wave Integrated Circuits) development has made sufficient progress in the frequen-
An n ual
R ep ort
2013
25
Das
26
Jah r
2 0 1 3
–
U p date
2 0 1 3
lung so weit vorangetrieben werden, dass erstmals eine
spektroskopische Gasanalyse von zwei verschiedenen
Stoffen in einem Versuchsaufbau demonstriert werden
konnte. Die Ergebnisse sind sehr ermutigend und lassen auf eine große Anwendungsbreite hoffen. Die nächsten Entwicklungsschritte dienen der systematischen
Miniaturisierung des Systems.
cy range of 245 GHz to demonstrate a spectroscopic
gas analysis of two different materials in a test setup
for the first time. The results were very encouraging
and give reason to hope for a large range of applications. The next steps will serve to miniaturize the
system systematically.
Im Frequenzbereich oberhalb von 100 GHz wird weiterhin der Einsatz von On-Chip-Antennen konsequent
verfolgt. Diese werden den Integrationsgrad der Schaltungen deutlich erhöhen und den Aufbau kompletter
Systeme signifikant vereinfachen. Auch hier wird der
Trend zu Antennen-Arrays verfolgt. Die Designmethodik
soll in Richtung Antennen-Elektronik-Co-Design weiterentwickelt werden.
The use of on-chip-antennas in the frequency range
above 100 GHz will be further pursued. These antennas will significantly increase the circuit‘s degree
of integration and simplify the design of complete
systems. Here, too, the trend towards antenna arrays
will be followed up. The design methodology shall be
developed in the direction of antenna electronics codesign.
Die Entwicklung von Millimeterwellen-Schaltungen
für die Nahfeld-Sensorik zur Stoffanalyse wird weiter
vorangetrieben. Es konnten erste Ergebnisse in verschiedenen Frequenzbereichen veröffentlicht werden.
Zukünftig sollen die evaluierten Konzepte auf konkrete
Anwendungen übertragen werden. Dabei ist vorgesehen
auch technologische Möglichkeiten der On-Chip-Mikrofluidik einzubeziehen.
The development of millimeter-wave-RF circuits for
short-range sensors for material analysis will be further promoted. First results in different frequency
ranges have already been published. For the future,
the evaluated concepts shall be applied to specific
applications. This will also include technological opportunities for on-chip microfluidics.
In der Kategorie Breitband- und Mischsignal-Schaltungen geht ein Trend weiter in Richtung strahlungsharter Schaltungen und Systeme für Missionen außerhalb
des erdnahen Raumes. Mehrere Schaltkreisentwurfsprojekte laufen in diesem Feld.
For broadband- and mixed-signal-circuits there is a
trend towards radiation hard circuits and systems for
missions beyond low earth orbit space. Several circuit
design projects are running in this field of research.
Schwerpunkt der Arbeit dieser Gruppe bleiben aber die
auf Silizium-Technologie basierten Empfänger- und Treiber-Schaltungen für Glasfaser-Übertragungssysteme
mit dem Fokus auf höchste Datenraten (≥56 Gbps pro
optischen Link). Im Rahmen des SASER (Safe and Secure European Routing)-Projektes werden spezielle
Schaltungen für segmentierte optische Modulatoren
entwickelt, die langfristig Vorteile bei der Energieeffizienz solcher Systeme versprechen. Weiterhin werden in
enger Zusammenarbeit mit der Technologieentwicklung
optisch-elektronische Co-Designs vorangetrieben. Eine
erste Schaltung konnte bereits veröffentlicht werden.
The main research focus of this group still concentrates on silicon-technology based transmitter and
driver circuits and on fiber optic transmission systems with the focus on highest data rates (≥ 56 Gbps
per optical link). In the context of the project SASER
(Safe and Secure European Routing), special circuits
for segmented optical modulators are developed,
which promise long-term benefits of energy efficiency in these systems. Furthermore, optoelectronic
co-designs are pushed forwards in close cooperation
with the technology department. A first circuit has
already been published.
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
In diesem Zusammenhang werden auch weiterhin
Schaltungen für die Radio-over-Fiber-Technik entwickelt. Nach der Entwicklung des Klasse-S-Leistungsverstärkers liegt jetzt der Schwerpunkt beim Entwurf
der Empfänger-Eingangsschaltung (TransimpedanzVerstärker).
In this regard, the development of circuits for Radioover-Fiber technique will continue. After the development of class-S power amplifiers, the focus is
currently on the design of a receiver-input-circuit
(transimpedance amplifier).
Auf dem Gebiet der UWB-Technik wird die erfolgreiche
Entwicklung eines Single-Chip-Transceivers (konform
zum Standard IEEE 802.15.4a) weiter fortgeführt. Die
nächste Generation dieses Chips kann nun 4 Kanäle adressieren und verschiedene Datenraten bis 27 MBps bedienen. Die Power-Management Fähigkeiten des Chips
wurden weiter verfeinert, um die Batterie-Lebensdauer
in drahtlosen Sensornetzwerken weiter zu erhöhen.
In the field of UWB-technique the successful development of a single-chip-transceiver (conform to
standard IEEE 802.15.4a) will be continued. The next
generation of this chip is now able to address four
channels and to support different data rates up to
27 MBps. The chip‘s power management skills have
been further refined to increase battery lifetime in
wireless sensor networks.
Für den Einsatz in sogenannten WakeUp-Empfängern
werden HF-Schaltungen mit extrem niedrigem Leistungsverbrauch entwickelt. Nachdem verschiedene
Kernschaltungen im Prinzip demonstriert werden konnten, geht es nun darum, die Robustheit weiter zu erhöhen. Die Empfängerkonzepte werden bezüglich des
Einsatzes passiver Bauelemente mit sehr hoher Güte
und von bei niedrigen Arbeitspunkten betriebenen
SiGe-HBTs weiter verfeinert.
RF circuits with extremely low power consumption
are developed for the use in so-called “wake-up-receivers”. After the principal feasibility of different
core circuits has been demonstrated it is now the aim
to increase the robustness. Regarding the use of very
high quality passive components and of SiGe HBTs
operated at low operating points, the receiver concepts will be further refined.
Technologieplattform für drahtlose und Breitbandkommunikation
Die Forschungsarbeiten in der Technologie verfolgen
eine „More-than-Moore“-Strategie, d.h. es werden
Module mit zusätzlicher Funktionalität in die BiCMOSTechnologien integriert. Schwerpunkte der Arbeiten
sind THz-Bauelemente, integrierte MEMS (Micro-Electro-Mechanical Systems) für Anwendungen bei höchsten Frequenzen und die Verbindung von Photonik und
Elektronik (Siliziumphotonik).
Technology Platform for Wireless and Broadband
Communication
Research in technology pursues a “More-than-Moore”
strategy, i.e. modules with additional functionality are integrated in BiCMOS technologies. Work is
focused on THz devices, integrated MEMS (MicroElectro-Mechanical Systems) for applications at high
frequencies and combining photonics and electronics
(Si Photonics).
Die Forschung zu THz Bauelementen erfolgt im EU-Projekt DOTSEVEN mit der Zielstellung, HBTs mit 0,7 THz
zu entwickeln. Die Arbeiten laufen planmäßig. Sie werden durch eine Promotionsarbeit, die im Rahmen einer
Helmholtz-Doktorandenschule über die TU Berlin eingeworben werden konnte, und ein 2014 beginnendes DFGProjekt (gemeinsam mit der TU Dresden) unterstützt.
Research on THz components is conducted in the context of the EU project DOTSEVEN that pursues the development of HBTs with 0.7 THz. Work is on schedule.
The project is supported by a PhD thesis, acquired
within the Helmholtz Graduate School via TU Berlin,
and a DFG project that starts in 2014 in cooperation
with TU Dresden.
An n ual
R ep ort
2013
27
Das
28
Jah r
2 0 1 3
–
U p date
2 0 1 3
Im Rahmen der Zusammenarbeit mit der Gruppe von
John Cressler (Georgia Institute of Technology) konnte ein neuer Geschwindigkeitsrekord für Si-basierte
Bauelemente gemessen bei tiefen Temperaturen demonstriert werden. An IHP-SiGe-HBTs wurden bei 4,3 K
798 GHz gemessen.
As part of the research collaboration with the group
of John Cressler (Georgia Institute of Technology), it
was possible to demonstrate a new speed record for
Si based components, achieved at extremely low temperatures. 798 GHz were measured on IHP SiGe HBTs
at 4.3 K.
Die Arbeiten zum Thema MEMS Integration in eine
BiCMOS-Technologie laufen innerhalb der EU-Projekte
FLEXWIN (Flexible Microsystem Technology for Microand Millimetre-Wave Antenna Arrays with Intelligent
Pixels) und Nanotec (Nanostructured materials and
RFMEMS RFIC / MMIC technologies for highly adaptive
and reliable RF systems). Die Projektziele wurden planmäßig erreicht. Zum Thema MEMS wurde vom IHP zusammen mit dem ENAS und der TU Berlin im Juli 2013
in Potsdam die internationale Konferenz „MEMSWAVE“
sehr erfolgreich organisiert. Diese wichtige Fachtagung
wurde dazu genutzt, die schon sehr guten internationalen Kooperationsbeziehungen weiter auszubauen und
damit die internationale Sichtbarkeit des IHP weiter zu
erhöhen.
Work on integration of MEMS components in BiCMOS
technology are running within the EU projects FLEXWIN (Flexible Microsystem Technology for Micro- and
Millimetre-Wave Antenna Arrays with Intelligent Pixels) and Nanotec (Nanostructured materials and
RF-MEMS RFIC / MMIC technologies for highly adaptive and reliable RF systems). The project goals were
achieved as scheduled. Concerning MEMS, there was
the very successful international conference “MEMSWAVE” in July 2013 in Potsdam, which was jointly organized by IHP, ENAS and TU Berlin. This important
specialist conference was used to expand existing
international cooperation and to enhance IHP‘s international visibility.
Der Forschungsschwerpunkt Siliziumphotonik hat sich
im Berichtszeitraum sowohl hinsichtlich der erreichten
wissenschaftlichen Ergebnisse als auch der eingeworbenen Förderprojekte weiterhin außerordentlich positiv
entwickelt. Zusätzlich zu den schon laufenden Projekten
GALACTICO (Blending Various Photonics and Electronics
on Silicon for Integrated and Fully Functional Coherent
Tb Ethernet), ESSENTIAL (Epixfab services specifically
targeting (SME) industrial take-up of advanced silicon
photonics), RF2THzSiSoC, SILIMOD (Ultra Small HighSpeed Modulator for Photonic-Integrated-Circuits),
MINIMUM (Miniaturized Multi-Sensor Platform for
Fast Label-Free Optical Detection Molecule) und dem
DFG-Sonderforschungsbereich 787 (über die TU Berlin) konnten die Projekte MOSAIC (Monolithic, electrooptical co-integration for the realization of high end
sampler ASICs), PHOIBOS (Photonische Wirebonds für
optische Multi-Chip-Systeme), SASER (Safe and Secure
European Routing) und MERMIG (Modular CMOS Photonic Integrated Micro-Gyroscope) eingeworben und gestartet werden. Als technisches Highlight soll erwähnt
The research focus Si Photonics has, within the reporting period, witnessed significant further development, both in terms of achieved scientific results
and in terms of funded projects. In addition to already running projects, like HELIOS (EU), GALACTICO
(EU), ESSENTIAL (EU), F2THzSiSoC (BMBF within the
project Catrene), SILIMOD (BMBF), MINIMUM (ILB)
and SFB 787 (DFG via TU Berlin), the projects MOSAIC
(BMBF), PHOIBOS (BMBF), SASER (BMBF) and MERMIG (EU) were acquired and started successfully. A
technical highlight which should be mentioned is the
demonstration of photonic circuits combining optical and electronic devices, realized in the photonic
BiCMOS technology which is recently under development.
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
werden, dass erstmalig mit der sich in Entwicklung
befindenden photonischen BiCMOS-Technologie Schaltkreise demonstriert werden konnten, die optische und
elektronische Komponenten kombinieren. Innerhalb
des MPW-Services werden die entwickelten Technologien Partnern und Kunden zur Verfügung gestellt. 2013
wurden vier 0,25 µm und drei 0,13 µm BiCMOS-Technologieshuttle gestartet. Dieser Service trägt signifikant
zu den Drittmitteleinnahmen der Technologie bei und
ist Basis für nationale und internationale Forschungskooperation in geförderten Projekten.
The developed technologies are offered to partners
and customers through the MPW service. In 2013
four 0.25 µm and three 0.13 µm BiCMOS technology shuttles were processed. This service contributes
significantly to the third party funding of the technology and is the basis for national and international
research collaboration in funded projects.
Materialien für die Mikro- und Nanoelektronik
Die erkundende Materialforschungsgruppe („Exploratory Materials Research“) konzentriert ihre Arbeit
auf innovative graphenbasierte Bauelemente für die
künftige Hochfrequenz-Silizium-Mikroelektronik. Eine
theoretische Simulationsarbeit wurde im Jahre 2012
in der Zeitschrift „IEEE Electron Device Letters“ veröffentlicht und belegt das hohe Potential des vom IHP
patentierten „Graphene Base Transistor (GBT)“-Konzepts für Terahertz-Anwendungen. Erste experimentelle Messergebnisse wurden gemeinsam mit europäischen
Partnern in dem „high impact“ Journal „Nano Letter“
in 2013 veröffentlicht. Der GBT besitzt somit ein hohes Innovationspotential und bildet die Grundlage für
ein erfolgreich eingeworbenes EU-STREP-Projekt, das
gemeinsam mit Infineon und weiteren akademischen
Partnern aus Europa das Potential dieses Bauteils in
der Praxis erforscht.
Materials for Micro- and Nanoelectronics
The exploratory materials research group concentrates its work on innovative graphene-based devices
for future high-frequency silicon microelectronics. A
theoretical simulation work was published in 2012 in
the journal “IEEE Electron Device Letters”, and shows
the high potential of the IHP patented “Graphene
base transistor” (GBT) concept for terahertz applications. In 2013, together with European partners, first
experimental measurement results were published
in the “high impact” journal “Nano Letter”. The GBT
has a high innovation potential and is the basis for
the successfully acquired EU STREP project, which
will research the potential of this device in practice
together with Infineon and other academic partners
in Europe.
Ein zentraler Prozessschritt bei der Etablierung von
Graphen in der Silizium-Mikroelektronik ist das selektive Graphen-Wachstum hoher Qualität auf Isolatoren.
Zu dieser Thematik wurde erfolgreich ein BMWi-Projekt
gemeinsam mit der Firma Sentech aus Berlin sowie ein
DFG-Projekt mit der TU Dresden zur Graphen / Oxide
Wechselwirkung eingeworben; ferner bestehen enge
Forschungskooperationen mit Partnern in der Region
(wie z.B. dem Gemeinsamen Labor IHP / TH Wildau).
In Zusammenarbeit mit der University of California
Los Angeles (UCLA) aus den USA werden mit Hilfe von
Nanostrukturen auf Silizium Möglichkeiten untersucht,
Graphen in Kombination mit plasmonischen Effekten
A key process step for the establishment of graphene
in silicon microelectronics is the selective growth of
high quality graphene on insulators. On this issue
both a BMWi project with the company Sentech from
Berlin, and a DFG project with TU Dresden concerning graphene-oxide interaction were successfully
acquired. There is also close research cooperation
with regional partners such as Joint Lab IHP / TUAS
Wildau. In collaboration with the University of California, Los Angeles (UCLA) in the U.S.A., options are
examined with the help of nanostructures on silicon,
to use graphene in combination with plasmonic effects for sensor technology with biomolecules. Two
An n ual
R ep ort
2013
29
Das
30
Jah r
2 0 1 3
–
U p date
2 0 1 3
für die Biomolekülsensorik zu nutzen. Zwei Artikel in
den „high-impact“ Journalen „ACS Nano“ und „Advanced Materials“ konnten publiziert werden.
scientific articles in the “high impact” journals “ACS
Nano” and “Advanced Materials” were published.
Die „Front-End-of-Line“ (FEOL)-Gruppe untersucht
die Integration alternativer Halbleiter auf der Silizium-Plattform zur Leistungssteigerung bzw. Funktionserweiterung. Im Bereich der Photonik arbeitet die
IHP-Materialforschung gemeinsam mit der Abteilung
Technologie in der SiGe-Forschung an verspannten
Germanium-Bauteilen, denen ein hohes Potential als
Si-CMOS-kompatible Laserquellen zugeordnet wird. Die
Verspannung wird am IHP mittels mikromechanischer
Brückenstrukturen aufgebaut, um einen Si-CMOS-kompatiblen Prozessfluss zu erarbeiten. Gemeinsam mit
dem Weierstraß-Institut für Angewandte Analysis und
Stochastik (WIAS) in Berlin werden numerische 3DSimulationen für die Optimierung des Laser-Designs
erstellt, um die technologische Integration anzuleiten.
The “front-end-of-line” (FEOL) group investigates the
integration of alternative semiconductors on the silicon platform to improve performance or to add new
features. In photonics the IHP materials research
works together with the technology department in
the SiGe research on strained Ge-devices, which have
a high potential as a Si-CMOS-compatible light source. At IHP the tension is built up by micromechanical
bridge structures to develop a Si-CMOS-compatible
process flow. Numerical 3D simulations for the optimization of the laser-design are developed together
with the Weierstraß Institute for Applied Analysis and
Stochastics (WIAS) from Berlin, to guide the technological integration.
Gemeinsam mit der Siltronic AG hat das IHP einen flexiblen Oxidpufferansatz zur Integration einkristalliner
Galliumnitridschichten auf Si(111) patentiert. Ein gemeinsamer Forschungsvertrag für die Jahre 2013-2015
wurde unterzeichnet. Die Zusammenarbeit wird darin
auf strukturierte Waferansätze zur GaN-Integration
ausgeweitet. Die Firma LayTec aus Berlin, ein Weltmarktführer auf dem Gebiet der in-situ-GaN-Wachstumsmetrologie, erprobt gemeinsam mit dem IHP die
in-situ 3D-Metrologie zur Optimierung komplexer 3DNanostrukturen auf Silizium-Wafern und ein BMBF-Projekt ist im Bereich „Photonische Prozesstechnologie“
eingereicht. Ein Marie-Curie-Projekt zu GaN-basierten
UV-Detektoren auf Si als Demonstrator sowie ein Alexander von Humboldt-Fellowship Projekt zu Oxidpuffern auf Si wurden in 2013 erfolgreich abgeschlossen.
Darüber hinaus arbeitet eine Gruppe seit vielen Jahren
erfolgreich im Rahmen des „Future Silicon“-Projektes
gemeinsam mit der Siltronic AG an der Silizium-Waferentwicklung; eine Promotionsarbeit wurde in 2013 erfolgreich abgeschlossen.
Together with Siltronic, IHP has patented a flexible
oxide buffer approach for the integration of singlecrystal gallium nitride on Si (111). A research contract for the years 2013-2015 was signed. The cooperation is extended to structured wafer approaches
for the integration of GaN wafers. The company LayTec from Berlin, which is a worldwide market leader
in the field of in-situ GaN growth metrology, tests
together with IHP the in-situ 3D metrology for optimization of complex 3D nanostructures on silicon
wafers. Furthermore, a BMBF project in the area of
“photonic process technology” has been submitted.
A Marie Curie project on GaN-based UV detector on
Si as a demonstrator and an Alexander von Humboldt
Fellowship project concerning oxide puffer on Si
have been successfully completed in 2013. Moreover,
within the project “Future Silicon” a research group
is working successfully together with Siltronic since
years on silicon-wafer development; a PhD thesis has
been successfully completed in 2013.
Annua l
Re p or t
2013
Das
Jah r
2 0 1 3
–
U p date
2 0 1 3
Die „Back-End-of-Line“ (BEOL)-Gruppe arbeitet intensiv im Sinne der „More than Moore“-Strategie des IHP
an der Modulintegration in die Si-BiCMOS-Technologie.
Einen Schwerpunkt bilden sogenannte „Embedded
Nonvolatile Memory“ (e-NVM)-Module in der BiCMOSTechnologie des IHP für künftige Sensornetzanwendungen. Der sogenannte RRAM (Resistive Random
Access Memories)-Speicheransatz des IHP beruht auf
HfO2-basierten Metall-Isolator-Metall-Speicherzellen,
deren Widerstand durch elektrische Impulse reversibel
schaltbar ist. Im September 2012 startete gemeinsam
mit der TU Darmstadt ein DFG-Projekt und im Februar 2014 ein Alexander von Humboldt - Forschungsstipendium für Dr. Pauline Calka, um die Materialphysik
des reversiblen Schaltens im Detail zu verstehen und
damit zu optimieren. Darüber hinaus befindet sich der
Aufbau einer Nachwuchsgruppe im Rahmen des BMBFNanoMatFutur-Verfahrens 2014 in der zweiten und finalen Runde der Begutachtung. Zur Förderung der stets
kostenintensiven Technologie-Integration wurde erfolgreich mit europäischen und nationalen Industrie- und Forschungspartnern ein ENIAC-Förderprojekt
eingeworben, das im Juli 2014 startet. Wichtige
technologische Fortschritte bei der statistischen elektrischen Bewertung von komplett am IHP gefertigten
4-kbit-RRAM-Arrays wurden gemeinsam mit der University of Ferrara (Italien) erzielt.
The “back-end-of-line” (BEOL) group is working in
the sense of the “More than Moore” strategy of IHP
on the integration of modules into the Si BiCMOS
technology. One focus is on so-called “embeddednon-volatile memory” (e-NVM) modules in the IHP
BiCMOS technology for future sensor network applications. The so-called RRAM approach of the IHP is
based on HfO2-based metal-insulator-metal memory
cells whose resistance is reversibly switchable by
electric pulses. In September 2012, together with
the Technical University of Darmstadt, a new DFG project was started and in February 2014 an Alexander
von Humboldt-Fellowship will start for Dr. Pauline
Calka, to achieve a deep understanding of the materials physics of reversible switching and to optimize
it. Furthermore, the development of a research group
in the frame of BMBF NanoMatFutur procedure 2014
is in the second and final round of review. For the
promotion of the always cost-intensive technologyintegration, a ENIAC-research project has been successfully acquired with European and national industrial and research partners, which starts in July 2014.
Important technological improvements of statistical
electrical evaluation of 4 kbit RRAM Arrays, completely manufactured at IHP, were reached in cooperation
with the University of Ferrara (Italy).
Im Rahmen der vom Land Brandenburg geförderten
biomedizinischen Projekte gelang die BEOL-Integration von Aluminiumnitrid (AlN)-Schichten, die aufgrund
der hohen akustischen Geschwindigkeit von hohem
Interesse für „Surface Acoustic Wave (SAW)“-Sensoren
sind. Komplette SAW-Bauteile für Frequenzen von etwa
4 GHz konnten in einen konventionellen Si-CMOS-Prozess integriert werden. Derartige Bauelemente werden
häufig zur Messung von Druck und Temperatur oder für
mikrofluidische Anwendungen benötigt. Zurzeit werden
ferner spezifische Oberflächenfunktionalisierungen für
die Biomolekülsensorik erarbeitet, die die Grundlage
für weitere SAW-Projekte bilden werden.
As part of the federal state of Brandenburg funded
biomedical projects, the BEOL integration of aluminum nitride (AlN)-layers was successful, which is of
highest interest for “surface acoustic wave“ (SAW)
sensors due to the high acoustic velocity. Complete
SAW devices for frequencies of about 4 GHz were integrated into a conventional silicon CMOS process.
Such devices are often required for the measurement
of pressure and temperature, or for microfluidic applications. Currently, further specific surface functionalization for biomolecule sensors are being developed, which will form the basis for further SAW projects.
An n ual
R ep ort
2013
31
Selected Projects
32
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
PreLocate – Präzise Lokalisierung und
Breitband-Kommunikation
im 60-GHz-Band
PreLocate – Precise Localization and
Broadband Wireless Communication
in the 60 GHz Band
Wesentliches Ziel des PreLocate-Projektes ist es, Kommunikationssysteme im 60-GHz-Band um eine Abstandsmessung und Lokalisierung für mobile Terminals
zu erweitern. In diesem, vom BMBF geförderten Projekt,
arbeitet das IHP gemeinsam mit der Firma InnoSenT
GmbH und dem Institut für Informatik der HumboldtUniversität zu Berlin.
The main objective of the PreLocate Project is to extend 60 GHz high data rate communication systems
with localization and ranging capabilities for mobile
terminals. In the project, IHP works together with the
company InnoSenT GmbH and the Institute of Computer Science at Humboldt-University Berlin.
Da die Anforderungen an die Datenrate für drahtlose
Netze (WLAN) immer höher werden, müssen neben den
bekannten Frequenzbändern bei 2,4 GHz und 5 GHz
neue Frequenzbereiche genutzt werden. Insbesondere
das 60-GHz-Band bietet für zukünftige Multi-GigabitSysteme ein enormes Potential. In diesem Frequenzbereich ergibt sich die Möglichkeit, drahtlose Kommunikation mit höchsten Datenraten und eine präzise
zentimetergenaue Lokalisierung zu verbinden. Darüber
hinaus wird auch die Möglichkeit geschaffen, zukünftige integrierte 60-GHz-HF-Frontends für reine Lokalisierungsaufgaben einzusetzen. Diese Baugruppen können dann auch als aktive oder passive Radarsysteme im
60-GHz-Band arbeiten. Durch den Einsatz von Beamforming in diesem Frequenzband kann mit Hilfe von
Patcharrayantennen der Raumwinkel von mobilen Terminals ermittelt werden. Damit ist eine präzise Lokalisierung im Raum mit minimaler Infrastruktur möglich.
Since the requirements for data rates in WLAN networks are continuously increasing, besides the
known frequency bands around 2.4 GHz and 5 GHz,
new frequency bands have to be used. In particular,
the 60 GHz band has enormous potential for future
multi-Gigabit communication systems. Furthermore, in this frequency band, it is possible to combine
high data rate wireless communication with precise
localization exact to the centimeter. It is also conceivable to develop specific 60 GHz tags which are
dedicated for localization only. These units can also
work as active or even passive radar systems. Using
beamforming technology in this frequency band, it is
possible to determine the angle of mobile terminals
from an access point. In this way, precise localization
of mobile terminals can be facilitated with very little
infrastructure.
Abb. 1: Blockdiagramm des PreLocate 60-GHz-Demonstrators.
Fig. 1: Block diagram of the PreLocate 60 GHz demonstrator.
An n ual
R ep ort
2013
33
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Mitte 2013 wurde am IHP erstmals eine Datenübertragung mit einer Datenrate von > 1 Gbps mit gleichzeitiger
Abstandsmessung mit einer Genauigkeit im Bereich von
1 cm demonstriert. Im Demonstrator-Setup, dargestellt
in Abb. 2, wird ein hochaufgelöstes Kamerabild übertragen und gleichzeitig ein Rangingverfahren effizient
kombiniert. Die Datenratenverringerung aufgrund der
Rangingintervalle beträgt weniger als 1%. Die gesamte
Basisbandverarbeitung ist auf einer leistungsfähigen
FPGA-Plattform implementiert.
Mid-2013, a high data rate wireless communication
with a data rate > 1 Gbps combined with a simultaneous ranging with an accuracy of about 1 cm was
demonstrated at the IHP for the first time. In the demonstrator shown in Fig. 2, the transmission of a high
resolution camera stream is efficiently combined
with a ranging algorithm. The reduction of the data
rate due to the ranging process is less than 1%. The
complete baseband processor including ranging is
implemented on a high performance FPGA platform.
Abb. 3 zeigt die Ergebnisse der Abstandsmessung im
Vergleich zum tatsächlichen Abstand, sowie die Standardabweichung der Messungen. Aus der Literatur ist
uns kein System mit vergleichbarer Leistungsfähigkeit
bekannt.
Fig. 3 shows the results of the distance measurements plotted against the real distance. Furthermore
the standard deviation of the distance measurements
is shown. In the literature, there is currently no system reported that shows similar performance.
Abb. 2: Aufbau des Demonstrators.
Fig. 2: Demonstrator Setup.
Abb. 3: Abstandsmessung Vergleich Ranging-Messergebnis
mit realem Abstand.
Fig. 3: Distance measurements plotted against real distance.
34
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Secure Wake-Up für drahtlose Sensorknoten
Secure Wake-Up for Wireless Sensor Nodes
Drahtlose Sensorknoten bilden Funknetzwerke, welche
unter anderem zum Sammeln von Umweltinformation
in diversen Anwendungsgebieten eingesetzt werden. So
untersucht Airbus z.B. Möglichkeiten des Einsatzes von
drahtlosen Sensorknoten zur Steigerung der Wartbarkeit
von Flugzeugen. Beispielsweise sollen Stöße, die durch
Fahrgasttreppen bzw. -brücken verursacht werden, von
Sensorknoten erkannt werden (Abb. 4). Die Knoten werden in der Außenhaut integriert und durch ein EnergyHarvesting-Modul versorgt. Die gesammelten Informationen erlauben eine stetige Überwachung des Zustandes
der CFK-Außenhaut und vermeiden damit kostenintensive
Untersuchungen. Die Lösung dieser Aufgabe benötigt
vorgeschaltete „Wake-up“-Empfänger, welche die relevanten Sicherheitsanforderungen in der Luftfahrt erfüllen. Im Projekt AETERNITAS werden solche Systeme
entwickelt, wobei ein Schwerpunkt des IHP auf den
Sicherheitsaspekten liegt.
Wireless sensor nodes are employed in wireless networks to collect information about the environment
in a multitude of applications. For instance, Airbus
is investigating the feasibility of employing wireless
sensor networks to enhance the maintainability of
airplanes. One application aims to recognize structural damage from impacts by movable passenger
strairways and bridges (Fig. 4). The sensor nodes are
distributed in the outer layer of the hull and powered
by energy harvesting. The acquired data allows continuous monitoring of the carbon-fiber skin and avoids
expensive investigations by other means. This task
requires so-called “wake-up receivers” which must
satisfy the security requirements relevant in aerospace applications. In the project AETERNITAS such
a system is under development, whereby a main focus
of the IHP is directed towards security aspects.
In nahezu allen Anwendungsfällen ist eine Reduzierung
des Energieverbrauchs der Sensorknoten eine zentrale
Aufgabe. Der Energieverbrauch bestimmt wesentlich
die Lebensdauer und damit die Nutzbarkeit des Sensornetzes. Auf einem Sensorknoten ist der Transceiver
ein dominierender Verbraucher. Insbesondere bei einer
stetigen Funkbereitschaft, bei der der Funkkanal für
eingehende Botschaften durchgängig überwacht werden
muss, ist der Energieverbrauch des Transceivers maßgeblich. Aus diesem Grund ist die Untersuchung von energieeffizienten „Wake-up“-Empfängern (WuRx) ein stetig
aktives Forschungsgebiet. Bei einem WuRx handelt es
sich um einen speziellen Empfänger, der bei extrem
kleinem Energieverbrauch eine stetige Überwachung des
Funkkanals ermöglicht. Im durch das BMBF geförderten
Projekt AETERNITAS entwickelt das IHP in Zusammenarbeit mit Partnern aus der Forschung und der Industrie
ein sicheres und energie-effizientes WuRx. Für Airbus als
Partner im Projekt bietet der WuRx entscheidende Vorteile
gegenüber klassischen Verfahren, da er eine stetige Funkbereitschaft des Knotens ermöglicht, ohne den Energieverbrauch signifikant zu erhöhen. Damit werden Lösungen, wie
sie einleitend beschrieben wurden, erst zuverlässig möglich.
In almost all applications of wireless sensor nodes,
it is of central importance to reduce the energy consumption. This property determines the lifetime of
the nodes and the usability of the whole network. The
transceiver is a dominant consumer, in particular, if
it is continually active in order to detect incoming
messages. For this reason, investigations on “wakeup receivers” (WuRx) have become an active research
area. A WuRx is a dedicated additional receiver which
can monitor the radio channel continually while
consuming minimum power. In the BMBF-financed
project AETERNITAS the IHP is developing a secure
and efficient WuRx in cooperation with partners from
research and industry. Airbus, as an application partner, benefits from the continuous availability of the
sensor nodes without a significant increase of their
energy consumption. This feature is critical to enable
applications such as the one presented above.
An n ual
R ep ort
2013
35
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Im Projekt AETERNITAS erforschen das Fraunhofer IIS
und die TU Dresden Methoden und Technologien zur
Steigerung der Reichweite und zur Senkung des Energieverbrauchs. Das IHP befasst sich mit den Aspekten der
Sicherheit von WuRx-Systemen, welche bei einem Einsatz
in der Luftfahrt zwingend sind. Hierbei soll eine Lösung
zum Schutz vor sogenannten Depletion-Angriffen, bei
denen ein Angreifer die Energie des Knotens absichtlich abschöpft, gefunden werden. Ziel ist die Entwicklung eines sicheren WuRx, welcher eine Überprüfung des
Wake-Up-Signals durchführt, so dass ein unauthorisiertes Aufwecken verhindert werden kann. Ein wesentliches
Problem stellt hierbei die asynchrone Struktur der Kommunikation dar. Sie verhindert eine Synchronisation der
Kommunikationspartner bzw. ein gegenseitiges Bestätigen des Signals.
In the project AETERNITAS, Fraunhofer IIS and TU
Dresden are developing new methods and technologies to increase the range and to reduce energy consumption of the WuRx system. The IHP is researching
the security aspects of such systems, which are mandatory for aerospace applications. One task is to prevent energy-depletion attacks, in which a wake-up
signal is sent repeatedly, leading to a drain of the
node power supply. The goal is to develop a secure
WuRx which is resistant against unauthorized wakeup signals. A significant issue is the asynchronous
nature of the communication which prevents a
straightforward synchronisation of the stations and
the use of acknowledgements.
Das vom IHP entwickelte System basiert auf dem Timebased One-Time Password (TOTP)-Algorithmus und wurde
als kombinierte Hardware-Software-Lösung umgesetzt. Der
Algorithmus basiert auf kryptographisch gesicherten HashSummen, welche effizient und energiesparend in Hardware
umgesetzt wurden. Darüberhinaus wurde ein Symboldecoder zum Empfang der Wake-Up-Sequenz als HardwareLösung entwickelt. Der Decoder ist mittels Software konfigurierbar und ermöglicht eine einstellbare Sicherheit.
Das Management des Algorithmus und die Ansteuerung
der Hardware wurde in ein bestehendes Betriebssystem für
Sensorknoten integriert. Dies ermöglicht den Einsatz des
sicheren WuRx-Systems in verschiedenen Anwendungsszenarien, die unter anderem auch den Einsatz von energieeffizienten Multi-Hop-Netzwerken erfordern.
The solution under development at the IHP is based
on the time-based one-time-password (TOTP) algorithm and was implemented using a hardware/software co-design. The algorithm employs encrypted
hashes which are processed efficiently in hardware.
In addition, a symbol decoder to identify the wake-up
sequence was realized in hardware. The decoder can
be configured to the required degree of security with
the software. The management of the algorithm and
control of the hardware blocks was integrated into an
existing operating system for sensor nodes. This approach allows the use of the secure wake-up receiver
in different application scenarios, including future
energy-efficient multi-hop sensor networks.
Abb. 4: Geplanter Einsatz von Sensorknoten zur Überwachung von Stößen in der Außenhaut von Flugzeugen (Quelle: Airbus).
Fig. 4: Planned use of sensor nodes to monitor damage to the outer skin of airplanes (Source: Airbus).
36
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
UltraSpread – Voll integrierter Transceiver
mit PSSS-Modulation
UltraSpread – Fully integrated Transceiver
based on PSSS Modulation
Das vom BMWi geförderte ZIM-Projekt „UltraSpread“
hat das Ziel, erstmalig eine zuverlässige, hochintegrierte Funklösung mit Datenraten von 250 kbps im
Sub-GHz Band basierend auf der PSSS (Parallel Sequence Spread Spectrum)-Technologie für Wireless Sensor
Networks (WSN) zu realisieren. Angestrebt wird eine
Marktführerschaft, indem für professionelle Anwendungen eine sehr hohe Funkabdeckung bei gleichzeitig
(für Sensornetze) hoher Datenrate und sehr niedrigem
Energiebedarf pro erfolgreich übertragenes Bit realisiert werden.
The ZIM Project „UltraSpread“ is funded by the Federal Ministry of Economic Affairs. It aims at developing the first reliable, fully integrated radio solution
for Wireless Sensor Networks (WSN) in the sub-GHz
frequency band, supporting data rates of 250 kbps
based on PSSS (Parallel Sequence Spread Spectrum)
technology. The goal is to achieve market leadership
for professional applications by providing high radio
coverage in conjunction with high data rates (for
sensor networks) and very low energy consumption
per transferred bit.
Das UltraSpread-Projekt implementiert das in Abb. 5
gezeigte System mit den beiden Teilen „Radio“ (analog) und „Basisband-Prozessor“ (digital) in einer
mixed-signal Single-Chip-Lösung. Die Zieltechnologie
ist dabei eine 130-nm-Technologie, bei der sich sehr
kleine Ruhestromwerte erreichen lassen. Im Blockdiagramm erkennt man links den digitalen BasisbandProzessor und rechts das 868 / 915 MHz-Radioteil mit
ihren jeweiligen Funktionsblöcken. Das System wurde
für den Standard IEEE 802.15.4 entworfen, auf dem
weltweit der größte Teil der drahtlosen Sensornetzwerke basiert. Eine besondere Herausforderung ist
dabei die Einhaltung der spektralen Sendemaske bei
868 MHz, wobei gleichzeitig eine hohe Sendeleistung
und damit eine gute Reichweite erzielt werden sollen.
Als Besonderheit verfügt das „UltraSpread“-Chip über
eine integrierte AES-Ver- bzw. -Entschlüsselung, so dass
der Datentransfer abhörsicher gestaltet werden kann.
In the UltraSpread project we will realize the system
shown in Fig. 5 as a mixed-signal single chip solution. Its main parts are the analog Radio transceiver
and the digital baseband processor. The target technology is a 130 nm CMOS technology that allows for
extremely low power consumption in the inactive
state. The block diagram shows the baseband processor (on the left hand side) and the 868 / 915 MHz
radio module (right), each with its sub-components.
The system complies with the standard IEEE 802.15.4,
which is the basis for the great majority of wireless
sensor networks worldwide. A particular challenge
is to stick to the tight spectral transmit mask at
868 MHz while achieving a high transmit power and
thus a good radio coverage at the same time. A special feature of the “UltraSpread” chip is an integrated
AES crypto unit, allowing to prevent eavesdropping of
the wirelessly transferred data.
An n ual
R ep ort
2013
37
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 5: Blockdiagramm des UltraSpread-Chips.
Fig. 5: Block diagram of the UltraSpread Chip.
Am IHP wurden Teile des Basisband-Prozessors entwickelt, z.B. der AES-Krypto-Prozessor und das SPIInterface zum Anschluss des Transceivers an einen
Mikrocontroller. PSSS-Encoder und -Decoder sowie die
zugehörige Signalverarbeitung wie Filter, Costas-Loop
etc. wurden vom Projektpartner Dr. Wolf Wireless GmbH
Teltow beigesteuert. Die Gesamtintegration des Digitalteils erfolgte ebenfalls am IHP. Das Radio-Frontend
für 868 und 915 MHz einschließlich A / D- und D / AWandlern wurde vom Projektpartner IMST Kamp-Lintfort entwickelt. Dort ist auch die Gesamtintegration
von Digital- und Analog-Teil einschließlich Chip-Layout
erfolgt. Gesamtkonzept und Verwertung liegen in der
Verantwortung der Dr. Wolf Wireless GmbH.
38
Annua l
Re p or t
2013
At the IHP, parts of the baseband processor have been
developed, e.g. the AES crypto core and the SPI interface to connect the device to a micro-controller.
PSSS encoder and decoder as well as all signal processing units like filters and Costas loop have been
contributed by the project partner Dr. Wolf Wireless
GmbH in Teltow. Final integration of the digital part
has also been carried out at IHP. The radio frontend
for 868 and 915 MHz including A / D and D / A converters was developed by the project partner IMST at
Kamp-Lintfort. They also performed final integration
and layout for the mixed-signal chip. System concept
and marketing are under the responsibility of Dr. Wolf
Wireless.
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 6 zeigt das zur Erprobung des Digitalteils verwendete Testsystem mit FPGA (Xilinx Virtex5). Dieses
befindet sich unter dem Lüfter auf der großen Leiterplatte. Es enthält den gesamten Digitalteil, d.h.
PSSS-Basisband-Prozessor, SPI-Interface, AES-KryptoBlock usw. Als Radio-Frontend wird ein kommerzielles
868-MHz-Modem, Semtech SX-1257, verwendet. Es ist
rechts unten zu sehen. In der Mitte rechts sieht man den
Aardvark SPI-Adapter zum Anschluss an einen PC. Dieses System ist ein voll funktionsfähiges Modem, auf
dem der Digitalteil des „UltraSpread“-Chips bereits vor
Produktion des voll integrierten Funkmoduls gründlich
erprobt werden konnte.
Fig. 6 shows the FPGA-based test system that has been
used during the development phase of the digital
part. The Xilinx Virtex 5 FPGA is behind the cooler fan
on the large PCB. It contains the whole digital part,
i.e. PSSS baseband processor, SPI interface, AES unit,
crypto etc. The radio frontend is a commercial 868
MHz modem, the Semtech SX-1257. It can be seen in
the lower right corner. Mid on the right hand side the
Aardvark SPI adapter to attach to a PC is visible. This
system is a fully functional modem, which allowed us
to comprehensively test and debug the digital part of
the “UltraSpread” chip before the mixed-signal chip
is being produced. This way the risk of design failures
is considerably reduced.
Dieser digitale Basisband-Prozessor ist auch bereits als
separater Chip in IHP 0,25 µm Technologie verfügbar.
This digital baseband processor is also available as a
separate digital ASIC in IHP 0.25 µm technology.
Abb. 6: Foto des FPGA-basierten Testsystems für UltraSpread.
Fig. 6: Photo of the FPGA-based test setup for UltraSpread.
An n ual
R ep ort
2013
39
A u sg e w ä h l t e
–
S e le c te d
P r o j e c ts
Sens4U
Sens4U
Das Sens4U-Projekt bietet eine Lösung zur Beschleunigung der Entwicklung von Sensor-Netzwerken für
Umweltmonitoring. Das generierte Monitoring-System
ist durch die Anforderungen des Kunden definiert und
beinhaltet alle notwendigen Komponenten für die Erfassung, Bearbeitung und Darstellung der Daten.
The Sens4U project provides a solution for reducing
the development time of sensor networks for environment monitoring. The generated monitoring system
is defined by the requirements of the customer and
consists of all components necessary for data acquisition, processing and presentation.
Das wichtigste Alleinstellungsmerkmal der Sens4U-Lösung ist das Baukastenprinzip. Mit diesem Baukasten
kann sich der Anwender ohne tiefe Fachkenntnisse
ein effizientes Sensornetzwerk konzipieren und selbst
aufbauen (Abb. 7). Er braucht sich keine Gedanken
um Schnittstellen, Anpassungen oder Formfaktoren
zu machen. Dem Konfigurationswerkzeug werden
wesentliche Parameter wie Reichweite in freiem Gelände bzw. in einem Wald, Sensortypen, Standzeiten
u. a. angegeben. Das System erstellt damit die günstigste Konfiguration und schlägt die Module aus dem
Baukasten vor. Danach kann das System zusammengefügt, ausgebracht, angeschaltet und die Daten eingelesen werden. Das ist das ideale Szenario des absolut
neuartigen Systems.
The concept of modularity together with the provided
toolbox makes the Sens4U solution unique. The user
can use the toolbox to generate a monitoring network, without deep technical knowledge (Fig. 7). It is
not necessary to know all the details of the interfaces
and modules. The tools are provided with important
parameters of the network, like the distances between
measurement points, the communication parameters
(e.g. line of sight, forest) and the required network
lifetime (or time between maintenance). The Sens4U
system chooses the right modules and generates the
most efficient configuration. The monitoring system
can now be assembled, deployed and is ready to deliver the necessary data. This is how we imagine the
ideal scenario for the new Sens4U solution.
Abb. 7: Das Sens4U-Baukastenprinzip.
Fig. 7: The Sens4U concept of modularity.
40
P r o j e k te
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Projektziel ist es, eine prototypische Lösung zu entwickeln, die in der Lage ist, aus den Anforderungen von
Nutzern ohne technische Kenntnisse eine Konfiguration von Modulen zu generieren, die die definierte Aufgabe des Umweltmonitorings erfüllt. Die Sens4U-Lösung
besteht aus sich ergänzenden Teillösungen, die in Form
von Werkzeugen realisiert werden sollen. Diese unterstützen den Nutzer von der Idee bis zur Installation und
dem Betrieb des fertigen Monitoring-Systems (Abb. 8).
The project goal is to develop a prototype solution
that is capable of generating a configuration of modules based on a set of non-technical requirements
provided by the user. The monitoring system assembled according to the configuration shall realize the
defined measurement task. The Sens4U solution consists of tools that support the user from the start of
the concept phase, during the deployment, as well as
during the monitoring system run-time (Fig. 8).
Die Aufgabe des IHP ist die Entwicklung des Expertensystems, das die mit dem Planungstool erfassten Anforderungen des Nutzers in eine Konfiguration von Hardund Softwaremodulen umwandelt. Zusätzlich wird das
Installationstool, welches den Nutzer beim Aufbau des
Netzwerkes unterstützt, vom IHP realisiert. Das IHP
entwickelt auch die Hard- und Softwaremodule, die in
dem Pool von Modulen zu Auswahl stehen.
The role of IHP in this project is to realize the Expert
System that processes the user requirements gathered by the Planning Tool and generates the configuration of hardware and software modules. Additionally,
IHP is responsible for the Deployment Tool that supports the user while placing the sensor nodes in the
area to be monitored. IHP also develops the hardware
and software modules that are available in the Module Pool.
Abb. 8: Die Architektur des Systems.
Fig. 8: The architecture of the system.
An n ual
R ep ort
2013
41
A u sg e w ä h l t e
P r o j e k te
S e le c te d
P r o j e c ts
245-GHz-SiGe-Gas-Sensor
245 GHz SiGe Gas Sensor
Das Ziel des Projektes ist es, mm-Wellen / sub-THzBiosensoren unter Verwendung der modernsten IHP
0,13-µm-SiGe-BiCMOS zu entwickeln. In diesem Zusammenhang besteht ein erster Meilenstein darin, ein
Gasspektroskopie-Sensorsystem basierend auf einem
245-GHz-SiGe-Sender und -Empfänger zu demonstrieren.
The goal of the project is to develop mm-wave / subTHz biosensors using the advanced IHP 0.13 µm SiGe
BiCMOS technology. In this context the first milestone is to demonstrate a gas spectroscopy sensor
system based on a 245 GHz SiGe transmitter and receiver.
Unlängst wurden Gasspektroskopie-Sensorsysteme für
den mm-Wellen-Bereich vorgestellt, die aus kommerziell verfügbaren mm-Wellen-Komponenten aufgebaut
sind und eine Frequenzsynthese im Bereich von 10 GHz
mit nachfolgender Frequenzmultiplikation in den Bereich von 210 – 270 GHz durchführen [1]. Die Kosten
für ein derartiges System werden gegenwärtig durch
die Aufwendungen für die mm-Wellen-Komponenten
dominiert. Die Herausforderung besteht deshalb darin,
ein wesentlich preisgünstigeres Sensorsystem auf Basis
einer SiGe-BiCMOS-Technologie zu entwickeln. Am IHP
konnte inzwischen ein System für Gasspektroskopie
im Bereich um 245 GHz realisiert werden, welches aus
einem 245-GHz-SiGe-Sender- und einem EmpfängerChip besteht, deren beide Lokaloszillatorfrequenzen
sich mittels zweier PLL mit konstanter Frequenzdifferenz ändern lassen.
Recently, sensor systems for gas spectroscopy in the
mm-wave region, which are based on commercially
available components, have been reported. They use
frequency synthesis techniques in the region around
10 GHz, with frequency multiplication to 210 – 270 GHz
[1]. The cost is currently dominated by the mm-wave
components. The challenge is to realize a low-cost
mm-wave system using advanced SiGe BiCMOS technology. We implemented a system for gas spectroscopy at about 245 GHz, which consists of 245 GHz
SiGe transmitter- and receiver-chips, whose local
oscillator frequencies are swept by two external PLLs
with constant frequency offset.
Abb. 9: Laboraufbau des 245-GHz-Gasspektroskopie-Systems.
Fig. 9: Labory setup of the 245 GHz gas spectroscopy system.
42
–
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Der 245-GHz-Sender (TX) [2] und -Empfänger (RX)
[3] wurden in der fortgeschrittenen IHP 0,13-µm-SiGeBiCMOS-Technologie mit fT / fmax von 300 GHz / 500 GHz
gefertigt.
The 245 GHz transmitter (TX) [2] and receiver (RX)
[3] are fabricated in the advanced IHP 0.13 µm SiGe
BiCMOS technology with fT / fmax of 300 GHz / 500 GHz.
Der effektive Antennengewinn des Senders und auch
des Empfängers, die jeweils eine integrierte OnChip-Antenne besitzen, wurde durch eine zusätzliche
dielektrische Linse erhöht, um die Platzierung einer ca.
1 m langen Gasabsorptionszelle zwischen Sender und
Empfänger zu ermöglichen. Die Leistungsfähigkeit dieses Sensorsystems konnte anhand eines gemessenen
Absorptionsspektrums für Methanol nachgewiesen
werden. Ein integrierter Lokaloszillator wurde sowohl
für den Sender als auch für den Empfänger verwendet, wobei seine Frequenz mittels eines externen PLLBausteins stabilisiert wurde. Die beiden PLL-Bausteine
verwenden hierbei zwei Referenzfrequenzen mit konstantem Frequenzversatz, um für den Empfänger eine
konstante Zwischenfrequenz von ca. 100 MHz während
eines Frequenzdurchlaufes zu erreichen.
The effective antenna gain of the TX and RX with onchip antenna, respectively, is increased by a dielectric lens to allow the implementation of a 1 m long
gas absorption cell. The performance of the sensor
system is demonstrated by the absorption spectrum
of methanol. An integrated local oscillator is used
for the transmitter TX as well as for the receiver RX,
whose frequency is tuned by an external PLL. The two
PLLs of the system are controlled by two external reference frequencies with frequency offset to realize
a constant IF frequency in the range up to about
100 MHz during a frequency sweep.
Abb. 10: ZF-Spektrum des empfangenen Signals: 2 m Abstand zwischen Sender- und Empfänger-Modulen.
Fig. 10: IF-spectrum of received signal: 2 m distance between transmitter- and receiver modules.
An n ual
R ep ort
2013
43
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Eine geringe Amplitudenänderung des Empfängersignals infolge Gasabsorption kann hierbei detektiert
und in Abhängigkeit von der Senderfrequenz für eine
Gasspektroskopie abgespeichert werden. Der integrierte Lokaloszillator, der für den Sender und Empfänger verwendet wurde, besteht aus einem 120-GHz-PushPush spannungsgesteuerten Oszillator (VCO) mit einem
1 / 64-Frequenzteiler für die Fundamentalfrequenz des
Oszillators und einem einstufigen 120-GHz-Leistungsverstärker.
The very small change in the IF-signal amplitude due
to gas absorption is used for gas spectroscopy. The
local oscillator of the TX and the RX, respectively,
consists of a 120 GHz push-push voltage controlled
oscillator (VCO) with a 1 / 64 frequency divider for the
fundamental frequency, and a 120 GHz differential
one-stage power amplifier.
Der Demonstrator verwendet eine optische Bank, auf
der das Sender- und Empfängermodul beweglich montiert wurden (auf der rechten bzw. linken Seite), siehe
Abb. 9. Der effektive Antennengewinn für den Sender
bzw. Empfänger wird durch die Linsen erhöht. Abb. 10
zeigt das gemessene Zwischenfrequenzspektrum des
Empfängers für einen Abstand von 2 m zwischen Sender
und Empfänger. Für gasspektroskopische Messungen
von Methanol wurde eine Gasabsorptionszelle zwischen
das Sender- und den Empfängermodul gestellt. Das
Zwischenfrequenzsignal wurde dann in Abhängigkeit
von der Frequenz des Senders mittels kommerzieller
Labormesstechnik aufgezeichnet.
The demonstrator uses an optical bench for movable
mounting of the TX and RX modules (at the right and
left sides, respectively), see Fig. 9. The effective antenna gain of the TX and RX, respectively, is increased
by the lens. Fig. 10 shows the received IF spectrum
for a 2 m distance between TX and RX. For gas spectroscopy of methanol a gas absorption cell is placed
between the TX- and RX-modules. Then, the IF-signal
as a function of the transmitter frequency is recorded
by commercial measurement equipment.
[1] I. R. Medvedev, C. Neese, G. M. Plummer, and
F. C. De Lucia, “Submillimeter spectroscopy for
chemical analysis with absolute specificity,”
Opt. Lett. 35(10), 1533-1535 (2010).
[2] K. Schmalz et al. ,“245 GHz SiGe transmitter
with integrated antenna and external PLL,”
IEEE MTT-S IMS Symp.Proc., June 2013.
[3] K. Schmalz et al., “Subharmonic 245 GHz
SiGe Receiver with Antenna,” IEEE Proc.
European Microw. Int. Circuits Conf. (EuMiC),
pp. 121-124, October 2013.
44
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
LiDAR-Empfänger mit extrem hohem
Dynamikbereich (TACTICON)
LiDAR Receiver with High Dynamic Range
(TACTICON)
Das Ziel des Projektes ist es, einen für LiDAR (Light Detecting and Ranging) optimierten Empfängerchip zu
entwickeln, welcher eine hohe Bandbreite und einen
extrem hohen Dynamikbereich aufweist.
The goal of the project is to develop a LiDAR (Light
Detecting and Ranging) receiver chip with high bandwidth and high dynamic range.
Eines der Hauptprobleme auf der Empfängerseite von
LiDAR-Systemen ist die hohe Amplitudenvariation des
empfangenen optischen Impulses. Diese ist abhängig
vom Abstand, vom Reflexionsgrad und auch vom Reflexionswinkel. Der Dynamikbereich des reflektierten
Signals hängt stark von der Anwendung ab und kann
sich über mehr als sieben Größenordnungen erstrecken.
Solch ein optisches Signal kann durchaus konventionelle optische Empfänger, welche auf linearen Transimpedanzverstärkern basieren, sättigen oder zerstören.
One of the main problems at the receiver side of LiDAR systems is the high amplitude variation of the reflected optical pulse, depending on the measurement
distance, reflectivity, and the angle of the reflection.
The dynamic range of the reflected signal depends
on the application and can reach seven or even more
orders of magnitude. Such an optical signal can easily saturate or even destroy conventional optical
receivers based on a linear transimpedance amplifier
(TIA), thus making it insensitive for incoming optical
signals.
Der hier vorgestellte hybride optische Empfänger löst
dieses Problem, indem dieser den Eingangsstrom logarithmisch komprimiert (Abb. 11).
The presented hybrid optical receiver overcomes this
problem by using a logarithmic compression for the
input-current. The concept is shown in Fig. 11.
Abb. 11: Vergleich herkömmlicher Konzepte und des IHP Konzeptes für LiDAR-optimierte Empfänger.
Fig. 11: Concept of high dynamic range optical receiver
optimized for LiDAR applications in comparison
to conventional receiver concepts.
Tab. 1: Tab. 1: Wichtigste Parameter der ersten und zweiten Generation
der Empfängerschaltkreise.
Main parameters achieved by 1st and 2nd generation
receiver chips.
Abb. 12: Demonstrator mit LiDAR-Empfängerchip und Fotodiode.
Fig. 12: Demonstrator with LiDAR receiver chip and photodiode.
An n ual
R ep ort
2013
45
A u sg e w ä h l t e
46
P r o j e k te
–
S e le c te d
P r o j e c ts
Bisher wurden zwei Generationen von Prototypen entwickelt. Die erste Generation war ein Machbarkeitsnachweis und beinhaltete vier Prototypen, wobei jeder
für eine bestimmte Bandbreite optimiert wurde. Bei
der zweiten Generation wurden alle Eigenschaften der
vier ersten Chips in einem einzelnen integriert (Tabelle
1). Abb. 12 zeigt die Integration eines der vier LiDAREmpfängerchips und einer Lawinenfotodiode auf einem
Demonstratorboard (Größe: 4 x 4,2 cm). Die Transfercharakteristik dieses optischen Empfängers ist in
Abb. 13 dargestellt. Es gibt einen zusätzlichen unabhängigen Hochfrequenzkanal, um hohe Eingangsströme zu überwachen. Dieser kann dazu verwendet werden,
die Auflösung der Ausgangsspannung zu verbessern.
Die Transfercharakteristiken der verschiedenen LiDAREmpfänger sind über den gesamten Bereich des Eingangsfotostroms strikt monoton.
Up to now we have developed two generations of prototypes. The first generation constitutes the proof of
concept and contains four different LiDAR receiver
chips, each optimized for a specific bandwidth. The
second generation integrates all the features of the
four chips into one single chip (see Table 1). Fig. 12
depicts the integration of one of our LiDAR receiver
chips and an avalanche photodiode on a demonstrator board (size: 4 x 4.2 cm). The transfer characteristics of the optical receivers of the first generation are
shown in Fig. 13. There is an additional independent
high-frequency channel for monitoring high input
photocurrents that can be used to enhance the output voltage range of the receiver. The transfer characteristics of the receivers are strictly monotonic
over the full input photocurrent range.
Das Konzept der Eingangsstromkompression wurde in
der zweiten Generation um einen sogenannten Limiting-Mode erweitert (Abb. 14). Dieser ermöglicht eine
höhere Empfindlichkeit im linearen Arbeitsbereich des
Empfängerchips.
The concept of the input-current compression has
been extended to a limiting mode in the second generation, as seen in Fig. 14. The limiting mode allows
higher sensitivity in the linear region of operation.
Abb. 13: Transfercharakteristik der Empfänger der ersten
Generation.
Fig. 13: Transfer characteristic of our optical receivers
(1st generation).
Abb. 14: Durch Limiting-Mode erweitertes Kompressionskonzept
für die zweite Generation.
Fig. 14: Extension of the current-compression concept by the
limiting mode (2nd generation).
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Dielektrische Immobilisation von Nano-Partikeln durch Mikroelektroden
Dielectric Immobilization of Nano-particles
on Microelectrode Arrays
Es gibt einen wachsenden Bedarf an Lab-on-a-ChipSystemen und ähnlicher biologischer Bauelemente für
die räumliche Manipulation von Nanopartikeln wie deren Konzentrierung, Immobilisierung, Orientierung und
Ausrichtung. Die Manipulation sollte bei einer großen
Anzahl von Objekten gleichzeitig durchgeführt werden
können. Elektrokinetische Methoden wie die Dielektrophorese (DEP) werden seit einigen Jahrzehnten erfolgreich durch das Anlegen elektrischer Wechselfelder
zwischen Mikroelektroden untersucht. Wie in Abb. 15
illustriert, können polarisierbare Teilchen mittels inhomogener elektrischer Felder auf der Oberfläche oder an
den Kanten von Elektroden immobilisiert werden.
There is a growing need in lab-on-a-chip systems
and similar biodevices for spatial manipulation of
nanoparticles like concentrating, immobilizing, orientating and aligning. The manipulation should be
able to be performed on a large number of objects simultaneously. AC electrokinetic methods like Dielectrophoresis (DEP) have been successfully applied for
some decades by exploiting alternating electric fields
between microelectrodes. In the case of non-uniform
fields, polarizable particles can be immobilized on
top or at the edges of the electrodes, as illustrated
in Fig. 15.
Bisher sind die meisten Forschungsarbeiten auf dem
Gebiet der DEP mittels der Verwendung von Metallelektroden durchgeführt worden [1, 2]. Durch die kontinuierliche Verkleinerung der minimalen Strukturgrößen
in der CMOS-Technologie eröffnen sich neue Möglichkeiten für die DEP-Methodik. Durch die Anpassung der
Elektrodenabmessungen an die Größe der zu untersuchenden Objekte ist es möglich geworden, auch einzel-
So far, most of the research work performed on DEP
has been done by using metal electrodes [1, 2]. The
continuous downscaling of CMOS minimum feature
sizes provides great opportunities. By adapting the
typical electrode dimensions to the objects‘ sizes, it
has become possible to manipulate even single objects like viruses and proteins on metal electrodes
[3-6]. Nevertheless, the dimensions of CMOS metal
Abb. 15: Dielektrophorese: Schematische Darstellung des
Versuchsaufbaus. a) Nanopartikel sind in Wasser gelöst
b) Bei Anlegen eines inhomogenen elektrischen Wechsel feldes werden die Partikel durch elektrische Kräfte
polarisiert. Diese Kräfte führen zu einer lokalen Akku mulation der Teilchen. Der Abstand zwischen der Top Elektrode und der Elektrodenoberfläche beträgt
ca. 100 µm.
Fig. 15: Dielectrophoresis: Schematic illustration of the
experimental setup. a) Nanoobjects are suspended in
water b) By applying inhomogeneous AC electric fields,
electrical forces act on polarizable particles. These forces
lead to a local particle accumulation. The distance
between top electrode and electrode surface is about
100 µm.
An n ual
R ep ort
2013
47
A u sg e w ä h l t e
–
S e le c te d
P r o j e c ts
ne Objekte wie Viren und Proteine auf Metallelektroden
[3-6] zu manipulieren. Dennoch sind die Abmessungen
der CMOS-Metallelektroden noch im Bereich von ca.
100 nm (ITRS 2012 UPDATE), die immer noch zu groß
für Nanopartikel wie Proteine mit Durchmessern von
weniger als 10 nm sind [7]. Ein moderner Ansatz, um
die Wechselwirkung zwischen den Teilchen und den
Elektroden zu optimieren, ist die Verwendung von dotierten Siliziumspitzen als Elektrodenmaterial.
electrodes are still in the range of 100 nm (ITRS 2012
update), which doesn’t fit to nanoparticles like proteins with diameters of less than 10 nm [7]. A modern approach to optimize the interaction between
particles and electrodes is the use of doped triangular
shaped silicon as electrode material.
Kegelförmige Nanoelektroden wurden in einem Standard-CMOS-Prozessablauf unter der Verwendung von reaktivem Ionenätzen (RIE) hergestellt [8]. Die Gesamtzahl der Elektroden beträgt etwa 2 Millionen pro Feld
(Abb. 16). Um die permanente Immobilisierung eines
Biomoleküls auf einem Elektrodenfeld zu demonstrieren, wurde das mit Fluoreszenzmarkern versehene Rinderserumalbumin (BSA) benutzt, welches ein Protein
mit einer gestreckten ellipsoiden Form (14 nm x 4 nm x
4 nm [9]) ist. Typischerweise wurden diese Versuche
bei etwa 10 kHz und bei Spannungsamplituden von 5
bis 10 V für die Dauer von einigen Sekunden bis Minuten durchgeführt. Wie in Abb. 17 dargestellt, ist die
Immobilisierung von Nanokügelchen mit einem Durchmesser von 200 nm bei optimal gewählten Parametern
innerhalb weniger Minuten abgeschlossen.
Cone-shaped nanoelectrodes were fabricated in a
standard CMOS process line by using reactive ion etching (RIE) process techniques [8]. The total number
of electrodes amounts to about 2 million per array
(Fig. 16). To demonstrate the permanent immobilization of a biomolecule on an electrode array, we used
fluorescently labeled bovine serum albumin (BSA),
which is a protein of prolate ellipsoidal shape (14 nm x
4 nm x 4 nm [9]). Typically, these experiments were
carried out at about 10 kHz with 5 to 10 VRMS for periods of several seconds to minutes. By choosing the
optimum operating conditions, the immobilization
of nanobeads with diameters of 200 nm is finalized
within a few minutes, as illustrated in Fig. 17.
2 µm
48
P r o j e k te
Annua l
Re p or t
2013
Abb. 16: REM-Aufnahme des mittels eines Plasmas geätzten
Nanoelektrodenfeldes.
Fig. 16: SEM image of the plasma etched nanoelectrode array.
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
References
[1] Widdershoven, R., Van Steenwinckel, D.,
Überfeld, J., Merelle, T., Suy H., Jedema, F.,
Hoofman, R., Tak, C.,A. Sedzin, B. Cobelens,
E. Sterckx, R. van der Werf, K. Verheyden,
M. Kengen, F. Swartjes, F. Frederix IEDM
Proceedings 2010.
[2] Martinez-Duarte, R., Electrophoresis 2012, 33,
3110.
[3] Yamamoto, T.; Fujii, T.; Nanotechnology 2007,
18, 495503
[4] Pethig, R., Biomicrofluidics 2010, 4, 022811
[5] Diao, J.J., Cao Q., AIP Advances 2011, 1, 012115
[6] Nakano, A., Ros, A., Electrophoresis 2013, 34,
1085.
[7] Hölzel, R., Calander, N., Chiragwandi, Z.,
Willander, M., Bier, F., Phys. Rev. Lett. 2005,
128102.
[8] Mehr, W., Wolff, A., Frankenfeld, H., Skaloud,
T., Höppner, W., Bugiel, E., Lärz, J., Hunger,
B., Microel. Engineering 1996, 30, 395-398.
[9] Squire, P.G., Moser, P., O’Konski, C.T.,
Biochemistry, 1968, 7, 4261.
Abb. 17: Mikroskopische Aufnahmen durch die transparente Top Elektrode von fluoreszierenden Polysteren-Teilchen mit
einem Durchmesser von 200 nm, die in Wasser über dem
Nanoelektrodenfeld gelöst sind. a) vor dem Anlegen des
Feldes, b) nach Anlegen des Feldes bei 17 kHz und 8 VRMS
für 12 s und c) nach Anlegen des Feldes für 60 s.
Fig. 17: Top view microscopic images through the transparent
top electrode of 200 nm fluorescent polystyrene
nanospheres in water on the nanoelectrode array.
a) Before field application, b) after field application at
17 kHz and 8 VRMS for 12 s and c) after 60 s field
application.
An n ual
R ep ort
2013
49
A u sg e w ä h l t e
50
P r o j e k te
–
S e le c te d
P r o j e c ts
Siliziumphotonik – eine Technologieplattform im Aufbau
Silicon Photonics – an Emerging
Technology Platform
Ein aktueller Schwerpunkt ist die Entwicklung einer
photonischen Integrationsplattform auf Silizium. Ziel
des kürzlich abgeschlossenen EU-Projektes Galactico
war es, Komponenten für die optische Datenübertragung zu entwickeln. Hierbei sollten Lösungsansätze
für Ethernet-Anwendungen bei 100 Gbps als auch für
eine Kapazitätserweiterung auf 400 Gbps untersucht
werden. Die integrierten photonischen Schaltkreise
und Module sollen sowohl in technischer als auch wirtschaftlicher Sicht eine Einsetzbarkeit ermöglichen.
Current research topics consider the development of
a photonic integration platform based on silicon. In
the frame of the recently completed European Project GALACTICO the aim was to develop photonic integrated components for optical data transmission.
The technology investigated shall disrupt the current
introduction of 100 Gbps optical long haul networks
and at the same time address the next capacity increase towards 400 Gbps. To achieve this goal, development focused on photonic integrated circuits and
modules combining technical and economic feasibility as well as a broad market potential.
Zusammen mit unseren Projektpartnern wurde dafür
die komplette Wertschöpfungskette der Optoelektronik
einbezogen. Dies beinhaltete die Expertise des IHPs
und der TU Berlin in der photonischen Technologie, die
Erfahrungen der Universität Valencia in der Hybridintegration, das Know-how der Komponentenhersteller u2t
Germany und u2t UK (jetzt Finisar), die Systemtechnik des Netzwerkausrüsters Nokia Siemens Networks
Portugal (jetzt Coriant) sowie die Design- und Simulationsarbeiten der TU Athen, der Telekom Italien Labs
(TILabs) und die Systemintegration durch die Firma
Constelex in Griechenland.
To foster technology development fit for real world
applications, the project bundled competences of
the complete value chain of opto-electronic telecom,
involving the photonic technology expertise of IHP
and TU Berlin, the packaging and hybrid integration
expertise of UP Valencia, the expertise of component
manufacturer u2t Germany and u2t UK (now Finisar),
of system provider Nokia Siemens Networks Portugal
(now Coriant) as well as the modelling and system
competence of TU Athens, TILabs Italy, and system
integration by Constelex from Greece.
Das Projekt Galactico untersuchte zwei Technologieansätze, um eine kosteneffiziente Fertigung von photonisch-integrierten Schaltkreisen (PICs) zu erreichen:
a) die Siliziumphotonik (SiPh) zur Implementierung
einer Sender- und Empfängerplattform einschließlich
Interfaces sowie b) die III-V Technologie (InP und
GaAs), um die Funktionalitäten für Sender (Modulatoren) und Empfänger (Dioden) herzustellen. Dieses
zweistufige Vorgehen ist notwendig, da es (noch) nicht
für jede Funktionalität einen Lösungsansatz in Silizium
gibt.
GALACTICO invested in two technologies that leverage cost-effective PIC fabrication; a) Silicon Photonics (SiPh) to implement the transmitter and receiver
platform and interfaces and b) III-V technology (InP
and GaAs) to implement the transmitter modulation
and receiver functionalities still not available on silicon.
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Ziele des Einsatzes der Silizium und III-V
Halbleitertechnologie:
- Entwicklung von Nanowellenleitern und passiven
photonischen Funktionen für kompakte Sender
und Empfänger auf Silizium
- Herstellung von IQ-Modulatoren aus GaAs für
Mehrkanal- und Polarisationsmultiplex-Anwendungen
- Herstellung von Photodetektoren aus InP zum
Aufbau kohärenter Empfänger für 100 Gbps
- Herstellung von Photodetektoren (Bandbreite
30 GHz) aus Germanium integriert in Silizium
- Design kohärenter Empfängerchips auf SiPh-Chips
unter Verwendung von Nano-Wellenleitern.
Objectives for the deployment of silicon and III-V
semiconductor technology:
- Development of a full family of silicon nano photonic components for compact transmitter
and receiver on silicon
- Fabrication of single element IQ GaAs modulator
suitable for multi-channel transmission and
polarization multiplexing
- Design of InP photodetectors for 100 Gbps hybrid
integrated coherent receiver
- Development of Germanium photodiodes
(bandwidth of 30 GHz) for integration on SiPh
- Fabrication of coherent receiver boards deploying
SiPh nanometer waveguides.
Optoelektrische Sender für die
Datenübertragung
In modernen Datenfernverkehrsnetzen kommt zunehmend die kohärente Übertragungstechnik zum Einsatz.
Die hierfür benötigten Transmitter weisen im Vergleich
zur traditionellen Amplitudenmodulation eine erhöhte
Komplexität auf. Zudem müssen Komponenten auch
für einen Aufbau von Mehrkanalsystemen geeignet sein
(vgl. Abb. 18 und 19).
Opto-electronic transmitters for future data
transmission network
In recently introduced transmission systems complex
coherent modulation replaces the traditional technology which deployed on-off-keying. The layouts of
complex modulators and receivers, as shown in Fig.
18 and 19, need to be compatible to existing optical
trunk lines, and should be scalable to higher capacity.
Abb. 18: Entwicklungspfad kohärenter Transmitter beginnend mit Aufbauten aus Einzelkomponenten bis hin zu vollintegrierten photonischen
GaAs-Schaltungen. Die Auswertung der erzeugten Datensignale ist in sogenannten Konstellationsdiagrammen für ein- und mehrstufige
Modulationsverfahren dargestellt.
Fig. 18: Development path of coherent transmitter from assembled set-up to fully integrated photonic circuits based on GaAs. Evaluation of
generated data signals using constellation diagrams for single- and multilevel-signals.
An n ual
R ep ort
2013
51
A u sg e w ä h l t e
P r o j e k te
S e le c te d
P r o j e c ts
Die hergestellten GaAs-Modulator-Chips integrieren
optische und optoelektrische Funktionen wie Signalteilung, elektrooptische Modulation, Polarisations-Rotation und Multiplexing in Modulen, die wesentlich kleiner
als herkömmliche Komponenten sind.
Fabricated GaAs modulator chips integrate all optical and opto-electronic functionalities such as signal
splitting, electro-optic modulation, polarization rotation and multiplexing in modules that are well smaller
than the current standards.
Die hergestellten Komponenten wurden zunächst in Labortests untersucht. Abschließend erfolgte ein Feldeinsatz im Netzwerk des Partners TILabs. Die Auswertung
des Übertragungsverhaltens von Signalen im Ein- und
Mehrniveau- Modulationsformat erfolgt u.a. in Konstellationsdiagrammen (Abb. 18). Eine fehlerfreie Datenübertragung in den für Übertragungssysteme typischen
Arbeitsbereichen (Leistung, Signal-zu-Rausch Verhältnis, usw.) wurde nachgewiesen und die vielversprechenden experimentellen Ergebnisse auf der Konferenz
OFC 2013 in einem Postdeadline-Beitrag präsentiert.
Evaluation of the optical performance was carried out
in laboratory test set-ups and finally in a field test
using the TILab network. The evaluation is shown in
constellation diagrams for single and multilevel formats shows. The capability of modulators for error
free data transmission with margins (power budget,
signal-to-noise-ratio, etc.) of state-of-the-art systems (Fig. 18) was demonstrated. Highly promising
experimental results were published at the post deadline session of OFC in 2013.
Optoelektrische Empfänger für die
Datenübertragung
Für den Signalempfang wurden optoelektrische Empfänger auf Silizium entwickelt, die benötigte optische
Funktionen (Signal-Einkopplung über Gitter, Polarisationsteilung) in Nanowellenleiter integrieren und eine
hochfrequente optoelektrische Wandlung ermöglichen.
Diese Grundfunktionen können dann in bestehende
BiCMOS-Prozesse integriert werden, um eine kosteneffiziente, photonische Integrations-Plattform zu bilden.
Optoelectric Receiver for future data transmission
networks
Silicon nano-waveguide PICs that fit on a single die
and integrate the optical (signal coupling, polarization splitting, mixing) and opto-electronic (optical
to electrical conversion) receiver functionalities have
been fabricated and demonstrated in few-mm-scale
chips (see Fig. 19). The toolset of a silicon foundry
running regularly BiCMOS processes was deployed,
opening the way for truly cost-effective fully-integrated “photonic BiCMOS”.
Die aufgebauten Empfänger (Abb. 19) wurden in Laborund Feldexperimenten getestet. Eine Bandbreite von
25 GHz konnte erreicht werden. Eine Voraussetzung
für die kohärente Datenübertragung z.B. in 100 Gbps
Ethernet-Anwendungen wird damit erfüllt.
52
–
Annua l
Re p or t
2013
Fully packaged modules were tested in a series of lab
experiments and field trials demonstrating a bandwidth of more than 25 GHz. This receiver performance
achieves the bandwidth of existing solutions and
therefore enables a deployment in 100 Gbps Ethernet
applications.
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 19: Entwicklung kohärenter Empfänger im Projekt GALACTICO beginnend von aufgebauten Modulen mit InP-Photodioden und 4-µm-Wellen leitern in Silizium bis hin zu vollintegrierten Empfängern auf einer Silizium-Integrationsplattform bestehend aus Gemanium-Photo-
dioden und Nanometer-Wellenleitern.
Fig 19: GALACTICO coherent receiver development starting from assembled modules deploying InP diodes and 4 µm waveguides in silicon
up to an integration platform on silicon for receiver with Germanium photodetectors and nanometer waveguides.
Ausblick
Die entwickelten hybrid-integrierten optoelektrischen
Komponenten sind geeignet, um in den Sende- und
Empfängermodulen zukünftiger Übertragungsnetze
eingesetzt werden.
Outlook
GALACTICO transmitter and receiver component are
well aligned with requirements to optical transmitter
and receiver in upcoming transport systems.
Mit dem Aufbau und Test bei Datenraten von 100 Gbps
konnte das Einsatzpotential für den Ethernet-Datenverkehr nachgewiesen werden. Die Nutzung bestehender Halbleiter-Technologien unterstützt dabei eine
schnelle und stückzahlengerechte Überführung in die
Herstellung.
Manufactured components are assembled and tested
at data rates of up to 100 Gbps and have shown the
potential for the deployment in the existing Ethernet network. The developed devices will enable a production using an established technology for volume
production and the integration in existing system
portfolios.
Die vorgestellten Forschungsergebnisse schaffen eine
Grundlage für die Entwicklung höher integrierter
und zugleich kostengünstiger Komponenten für die
Optische Nachrichtentechnik.
The results of this project pave the way to develop
and provide higher integrated and competitive solutions for a growing OTN market.
An n ual
R ep ort
2013
53
A u sg e w ä h l t e
P r o j e k te
S e le c te d
P r o j e c ts
FLEXWIN – Intelligente HF-Systeme
für Satellitenverbindungen
FLEXWIN – Smarter RF Microsystems
for Satellite Links
Ziel des FLEXWIN-Projektes ist die Entwicklung von intelligenten Hochfrequenztechnologien und -systemen, mit
denen Antennen für die Kommunikation zwischen Flugzeugen und Satelliten hergestellt werden können, wobei für den Einsatz in Flugzeugen kostengünstige, planare Antennen mit geringem Gewicht erforderlich sind
(Abb. 20). Weiterhin sollen in einem zweiten Ansatz rekonfigurierbare Receiver für Basisstationen entwickelt
werden.
The goal of the FLEXWIN project is to develop a smart
RF microsystem technology, which enables the manufacturing of antennas for satellite communication
links from an airplane to the satellite for use in transport, where there is a clear need for low-cost, planar
antennas which produce low drag on the aircraft
(Fig. 20). The second big aim is to develop reconfigurable receivers for base stations.
Die FLEXWIN-Projektpartner entwickeln intelligente
Mikrosysteme für Hochfrequenzanwendungen, welche
im Vergleich zu konventionellen Systemen höhere Flexibilität und mehr Möglichkeiten bieten. Die Übertragung
der Kommunikationssignale erfolgt im Ku-Band, welches
auch für Satellitenfernsehen verwendet wird, um höhere
Datenraten zu ermöglichen. Problematisch ist, dass die
meisten kommerziell verfügbaren Antennen nicht-planare, mechanisch steuerbare Systeme sind, welche für
den Einsatz im Flugzeug ungeeignet sind.
The FLEXWIN project partners are developing smart
radio frequency (RF) microsystems, which will have
greater capabilities and flexibilities than conventional RF-systems. The communication signals are transported in the Ku-band, which allows higher data rates
and is also used for satellite television. But most of
the current commercially available antennas are based
on non-planar mechanically steerable systems which
are not very well suited for use on planes.
Abb. 20: Satcom-Kommunikationsszenario im FLEXWIN-Projekt
Fig. 20: Satcom communication scenarios in the FLEXWIN project.
54
–
Annua l
Re p or t
2013
Abb. 21: Explosionsansicht des Direktstrahler-Array-Boards.
Fig. 21: Exploded view of the direct radiating array board.
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Die Partner im FLEXWIN-Konsortium gehen davon aus,
dass kostengünstige planare Antennen aufgrund der
elektronischen Steuerbarkeit bedeutende Verbesserungen mit sich bringen. Außerdem sollen kleinere
Antennen und höhere Datenübertragungsraten durch
höhere Frequenzen ermöglicht werden. Im Projekt werden sowohl die Antennen als auch die verschiedenen
Systemkomponenten entwickelt, wobei die Chips direkt
in den Antennen integriert werden, um multifunktionelle
Mikrowellenschaltungen zu realisieren (Abb. 21 & 22).
FLEXWIN researchers believe that low-cost and active
planar antennas would bring significant improvements, as they are electronically steerable. The Kaband would operate at higher frequencies, allowing
the transmission of more data with smaller antennas.
The project is developing both the antennas and the
individual key components on which the technology
depends (Figs. 21 & 22). The individual chips are directly integrated in the antenna, to realize the multifunctional microwave circuitry.
Ein neuer Ansatz im FLEXWIN-Projekt ist, dass verschiedene Funktionalitäten monolithisch in die SiGe-BiCMOSTechnologie des IHP und damit “on-chip” integriert sind
(Abb. 23). Dabei werden sowohl Digital / Mixed-SignalSchaltkreise, Silizium-Germanium-Transistoren für analoge Schaltkreise und RF-MEMS (mikroelektromechanische
Systeme) als Schalter und zur Rekonfigurierbarkeit verwendet. Durch Kombination von Digital / Mixed-SignalSchaltungen und analogen Schaltkreisen als Verstärker,
Schalter, Phasen- und Amplitudenkontrolle auf einem
Chip wird ein sehr hohes Integrationslevel erreicht, wodurch die Komplexität des gesamten Antennensystems
entscheidend reduziert werden kann.
The new aspect of the FLEXWIN project is that the
RF-microsystem is monolithically integrated in the
semiconductor technology based on IHP’s silicon
germanium BiCMOS process (Fig. 23). This technology enables several functionalities on one chip. These
include BiCMOS for digital or mixed signal circuitry,
silicon germanium transistors for the active analogue circuitry and RF-MEMS (micro-electromechanical
system) for the switching and reconfiguration parts.
This multi-functionality helps to reduce the overall
complexity of the antenna system. With digital and
mixed-signal circuitry on the same BiCMOS chip, it is
possible to achieve the highest level of functionality.
Innerhalb des Projektes werden außerdem Mikro- bzw.
Millimeterwellen-Schalter basierend auf der SiGe-BiCMOSTechnologie entwickelt, um rekonfigurierbare Schaltungen und Chips für verschiedene Anwendungen herzustellen. RF-MEMS-Schalter werden dabei verwendet,
um das Signal mit minimalen Verlusten zwischen Sende- oder Empfangspfad der Antenne zu leiten (Abb. 23).
Außerdem finden die RF-MEMS-Schalter Anwendung in
rekonfigurierbaren Schaltungen für MillimeterwellenAnwendungen.
The project is also developing micro- and millimeterwave switches based on an advanced microsystem
technology monolithically integrated with SiGe
BiCMOS, so that the chips are reconfigurable and can
be used for multiple applications. RF-MEMS switches
are used in the FLEXWIN project in order to route the
signal with the lowest possible losses between the
transmitting and the receiving path of the antenna
(Fig. 23). Furthermore, the FLEXWIN project is working on establishing the concept of reusable chipsets
for millimeter-wave frequencies.
An n ual
R ep ort
2013
55
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 22: Frontend-Antennen-Architektur mit SiGe-Quad-Chip.
Fig. 22: Antenna Frontend architecture including the
SiGe quad-chip.
Abb. 23: Chip-Foto des Ka-Band Quad-Chip mit RF-MEMS-SPDT
in IHPs SG25H3 Technologie.
Fig. 23: Chip photo of the Ka Band quad-chip including RF-MEMS
SPDTs in IHP’s SG25H3 technology.
Neben der reinen Forschung besteht auch ein großes
Interesse seitens der Industrie. Erricsson wird die Ergebnisse in die Entwicklung der Basisstationen einfließen lassen, wohingegen EADS Anwendungen im Bereich
Aeronautics sieht. Neben Antennen für mobile Kommunikationstechnik und Basisstationen besteht ebenfalls ein
großes Potential, die Ergebnisse für die Automobil- und
Kommunikationsindustrie verfügbar zu machen. Seit
längerer Zeit verwendet die Automobilindustrie zwei verschiedene Frequenzbänder zur Erkennung von Objekten;
24 GHz für kurze Reichweiten und 77 GHz für hohe Reichweiten. Mithilfe der in FLEXWIN entwickelten Radarchips
kann zwischen beiden Frequenzbändern geschaltet
und weiterhin das neue Frequenzband bei 77-81 GHz
abgedeckt werden.
There’s strong interest from industry in the project.
The current exploitation plans center on specific
areas; among the commercial partners, Ericsson is
primarily focused on base stations, while EADS is
looking more towards applications in aeronautics.
The two main applications of this technology are in
mobile communication antennas and base stations,
but there is also great potential for the automotive
and communication industries. For a long time the
automotive industry has used two different frequencies for object recognition – 24 GHz for short range
detection and 77 GHz for long-range detection. The
FLEXWIN project’s research could lead to significant
improvements in this area and could enable a radar
chip to switch between these two bands or cover the
new 77-81GHz frequency-band.
References
[1] FLEXWIN project website:
http://www.flexwin.eu/
[2] Volker Ziegler, EU Research Magazine,
Winter 2012 Issue, pp. 72-73,
http://issuu.com/euresearcher/docs/
winter_2012/74
56
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Integration eines 50 V SiGe-HBT in eine
0,25-μm-SiGe-BiCMOS-Plattform
Integration of a 50 V SiGe HBT into a
0.25 μm SiGe BiCMOS Platform
Es wird die modulare Integration eines Hochvolt SiGeHBTs mit 50 V Durchbruchspannung BVCEO in den 0,25-µmSiGe-BiCMOS-Prozess SGB25VGDA des IHP vorgestellt.
Der verfolgte Ansatz eines lateralen Driftgebietes ist
sehr ähnlich den Konstruktionsprinzipien, wie sie für
integrierte Hochvolt-LDMOS-Transistoren Anwendung
finden. Mit der Konstruktion eines lateralen Driftraums
können tiefe Kollektorwannengebiete, welche sonst
mittels Hochenergieimplantation oder aufgewachsener
Epitaxieschichten erzeugt werden müssen, vermieden
werden. Bei dem hier verfolgten Ansatz bleibt die Konstruktion des Emitters und der Basis der Standard-SiGeHBTs, welche in dem unterlegten Standard-BiCMOS-Prozess erzeugt werden, unverändert. Das BVCEO*fT Produkt
dieses neuartigen Bauelementes erreicht Werte von
200 VGHz.
IHP has demonstrated the modular integration of
a high-voltage SiGe HBT with 50 V breakdown voltage BVCEO into IHP’s 0.25 μm SiGe BICMOS process
SGB25VGDA. The chosen approach of a lateral drift
region is very similar to the construction principles
applied to the construction of integrated high voltage LDMOS transistors. The construction of a lateral
drift region avoids deep collector wells formed by ion
implantation with very high implantation energy or
epitaxial layer growth. In the chosen approach the
emitter and base construction of the standard SiGe
HBTs, available in the underlying standard BiCMOS
process, remains unchanged. The BVCEO*fT product of
the new device reaches values of 200 VGHz.
Mit dem allgemeinen Ansatz eines lateralen Driftgebietes können Bipolartransistoren mit sehr großen
Durchbruchspannungen bei sehr moderaten Implantationsenergien < 1MeV hergestellt werden. SiGe-HBTs
mit einer langen lateralen Driftregion, als einem wesentlichen konstruktiven Detail, können mit großen
Durchbruchspannungen modular in bestehende Niedrigspannung-SiGe-HBT-Technologie integriert werden.
Die modulare Integration von Hochvolt-SiGe-HBTs mit
einer hohen Durchbruchspannung BVCEO und guten
Hochfrequenzeigenschaften in eine verfügbare BiCMOSPlattform ermöglicht effiziente Lösungen für HochvoltPowermanagement-Anwendungen und Schutzschaltungen als notwendige Bausteine für System-on-ChipLösungen. Typische Anwendungen sind Pegelwandler,
Hochvolt-Operationsverstärker sowie schnelle Treiber
für kapazitive RF-MEMS-Schalter mit einer hohen pulldown-Spannung und kurzen Öffnungszeiten. Der Driftraum des präparierten 50 V BVCEO SiGe-HBT besteht
größtenteils aus einem unterhalb der Shallow-TrenchIsolationsschicht liegenden niedrig dotierten Gebiet.
Eine Feldplatte, ausgeführt als eine Erweiterung der
Polysilizium-Basisschicht, ermöglicht eine entsprechende Reduktion der Feldstäke im Driftgebiet. In
The generic approach of a lateral drift region enables
the fabrication of bipolar transistors with very high
break down voltages even at moderate ion implantation energies < 1 MeV. SiGe HBTs with a long lateral
drift region as an essential feature of their device
construction (LDHBTs) enable a modular integration
of high voltage devices into existing low voltage SiGe
HBT technologies. The modular integration of high
voltage SiGe HBTs with high BVCE0 and good RF performance into an available BiCMOS platform enables
efficient solutions for high voltage power management and circuit protection applications as essential
building blocks for system-on-chip solutions. Typical
applications are level shifters, high voltage operational amplifiers and fast drivers for capacitive RF-MEMS
switches with high pull-down voltages and short
opening times. The drift region of the prepared 50 V
BVCEO SiGe HBT consists mainly of a low doped region
beneath the shallow trench insulator. A field plate as
an extension of the available polysilicon base layer
enables a proper reduction of the field in the drift
region. Fig. 24 shows the construction of the implemented LDHBT. In order to obtain a sufficiently large
potential drop the doping and the length of the late-
An n ual
R ep ort
2013
57
A u sg e w ä h l t e
P r o j e k te
Abb. 24 ist das Konstruktionsschema des implementierten LDHBT dargestellt. Für einen ausreichend
großen Potentialabfall über dem Driftgebiet (LDC2)
müssen Dotierung und Länge desselben geeignet gewählt werden. LDC2 ist definiert als der Abstand zwischen dem vertikalen Kollektorgebiet (LDC1) und dem
Kollektor Kontaktgebiet. Für die Integration der LDHBT
werden 2 Masken und 3 Maskenschritte benötigt. Um
das vertikale Kollektordotierungsprofil der StandardHBTs einerseits nicht zu verändern und andererseits
die Dotierungsdosis für den LDHBT geeignet zu gestalten, wird die Dotierung der vertikalen Kollektorgebiete
(LDC1) beider Bauelemente in getrennten Prozessschritten ausgeführt. Die Herstellung des HochvoltLDHBT beginnt mit der Implantation des langen lateralen Driftgebietes vor der RTA-Ausweitung der tiefen
n-Wanne. Das vertikale Kollektorgebiet der StandardHBTs wird vor Ausheilung der Standard-CMOS-Wannen
implantiert.
Die wesentlichen Ergebnisse können folgendermaßen
zusammengefasst werden:
(1) BVCEO|BVCBO=51 V|56 V
(2) fT|fMAX 4,6|17 GHz bei VCE = 4 V
(3) fT|fMAX = 3,9|17 GHz bei VCE = 8 V.
Der Transferstrom des parasitären pnp-Transistors ist
die dominierende Substratstromkomponente und begrenzt die Gleichstrom- und Hochfrequenzeigenschaften des Bauelementes. Ein tiefes, niedrig dotiertes
Kollektorgebiet unterhalb des Basisgebietes sowie eine
optimierte Überlagerung der Kollektorimplantationen
in den Gebieten LDC1 und LDC2 erscheinen als aussichtsreichste konstruktive Maßnahmen, um die elektrischen Eigenschaften des LDHBT weiter zu verbessern.
Abb.24: Querschnitt des implementierten Hochvolt-HBT mit
lateralem Driftgebiet (LDHBT).
Fig. 24: Cross section of implemented high voltage npn HBT
with lateral drift region (LDHBT).
58
Annua l
Re p or t
2013
–
S e le c te d
P r o j e c ts
ral drift region (LDC2) must be properly chosen. LDC2
is defined by the distance between the vertical collector region (LDC1) edge and the collector contact
region. Three extra mask steps using two additional
masks are required for LDHBT integration. In order to
maintain the vertical collector doping profile of the
standard HBTs and to appropriately adapt the doping
dose for the LDHBT, the implantations of the vertical
collector regions (LDC1) for both devices are performed separately. The preparation of the high voltage
LDHBT begins with the implantation of the long lateral drift region LDC2 and the vertical collector region
LDC1 before deep n-well RTA. The vertical collector
region of standard HBT was implanted before annealing the standard CMOS wells.
Our primary results can be summarized as follows:
(1) BVCEO|BVCBO=51 V|56 V
(2) fT|fMAX 4.6|17 GHz at VCE = 4 V.
(3) fT|fMAX = 3.9|17 GHz at VCE = 8 V.
The transfer current of the parasitic pnp transistor
as a dominant substrate current component significantly still limits the DC and RF performance of the
device. A deep low doped collector region beneath
the base region and an optimized superposition of
the collector implants in the regions LDC1 and LDC2
seem to be the most efficient constructive measures
for a further improvement of the electrical properties
of the LDHBT.
Abb. 25: Gemessene IC(VCE) Kennlinien eines LDHBT
(AE=1,76 µm2).
Fig. 25: Measured IC(VCE) characteristics of the single LDHBT
device (AE=1.76 µm2).
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Vollständig kohärente, versetzungsfreie
Ge / Si-Nanostrukturen auf Si(001) durch
„Compliance“
Fully Coherent, Misfit Dislocation free
Ge / Si Nanostructures on Si(001) by
Compliance
Das Ziel dieser Forschungsaktivität ist die Entwicklung
fortschrittlicher Heteroepitaxiekonzepte – in diesem
Fall CMOS-kompatibler Ansätze – um Nanostrukturen
gitterfehlangepasster Halbleiter mit ausreichender Materialqualität auf Si-Substraten aufzuwachsen, um ihre
überlegenen optoelektronischen Eigenschaften auszunutzen und so die Leistungen zukünftiger Bauelemente
gegenüber der „Standard“-Siliziumtechnologie zu verbessern.
The goal of this research activity is to develop advanced heteroepitaxy concepts – in this case Si CMOS
compatible approaches – to grow nanostructures of
lattice mismatched semiconductors on Si substrates
with appropriate material quality to fully exploit their
superior optoelectronics properties and thus to increase future device performance compared with “standard” Si technology.
Obwohl integrierte Schaltkreise auf Siliziumbasis auch
zukünftig klar die Technologiemärkte dominieren werden, werden inzwischen fundamentale Grenzen der
physikalischen Eigenschaften des Si selbst erreicht
und müssen überwunden werden, um die Leistung und
Funktionalität zukünftiger Mikro- und Nanoelektronik
weiter zu erhöhen. Die Integration alternativer Halbleitermaterialien mit überlegenen optoelektronischen
Eigenschaften ist deshalb ein wichtiger Ansatz in der
Materialforschung, um die Si-basierte Schaltkreistechnologie besser an zukünftige technologische Anforderungen anzupassen. Die klassische Heteroepitaxie gitterfehlangepasster planarer Filme führt unvermeidbar
zu plastischer Relaxation mit Gitterversetzungen und
einer Verschlechterung der Materialqualität. Demgegenüber verwendet das IHP Nanoheteroepitaxie
Although Si integrated circuits (ICs) will also clearly dominate future information technology markets,
fundamental limits in the physical properties of Si itself are met and must be overcome to further increase
the performance and functionality of future microand nanoelectronics. The integration of alternative
semiconductor materials with superior optoelectronic properties is thus an important materials science
approach to make Si-based circuit technology better
adjusted for future technology requirements. Classical heteroepitaxy of lattice mismatched planar films
unavoidably leads to plastic relaxation, with dislocations negatively affecting the material quality. In
contrast, we exploit nanoheteroepitaxy (NHE) to hinder misfit-dislocation formation in highly lattice-mismatched Ge on Si heterostructures. Both the enhan-
An n ual
R ep ort
2013
59
A u sg e w ä h l t e
60
P r o j e k te
–
S e le c te d
P r o j e c ts
(NHE), um die Bildung von Gitterfehlversetzungen in
extrem-fehlangepassten Ge / Si-Heterostrukturen zu
verhindern. Sowohl das erhöhte Oberfläche-zu-Volumen-Verhältnis als auch die Aufteilung der Gitterverspannung zwischen Film und Substrat durch den
„Compliance“-Effekt ermöglichen es, vollständig kohärente, defektfreie Halbleiterheterostrukturen mit einer
Ge-Dicke herzustellen, die ungefähr 20 mal größer ist
als die klassische Kohärenzgrenze für Filme auf Si. Die
Abwesenheit des Versetzungsnetzwerkes an der Ge / SiGrenzfläche, bekannt für seinen schädlichen Einfluss
auf die elektrischen Parameter, ist ein besonderer Vorteil dieses innovativen Ansatzes.
ced surface / volume ratio and the compliant strain
partitioning between film and substrate allow us to
achieve fully coherent, defect free semiconductor
heterostructures with a Ge layer thickness exceeding
by about 20 times the classical planar coherent-film
limit on Si. Especially the absence of a misfit dislocation network at the Ge / Si interface, well-known for
its detrimental influence on electrical parameters, is
an advantage of this innovative approach.
Die kohärenten Nanostrukturen wurden durch die Gasphasenabscheidung von Ge aus hochreinem Germanium
bei reduziertem Druck (RP-CVD) auf Si-Nanoinseln realisiert, die zuvor durch lithographische Strukturierung
und Trockenätzung auf einem 200 mm-SOI-Wafer hergestellt wurden. Ein zweidimensionales Muster von zylindrischen Si-Inseln mit einer Weite von 100 nm und
einer Periodizität von 360 nm wurde realisiert. Zwischen dem Ge und dem Si wurde eine dünne SiGe-Pufferschicht mit einem Ge-Anteil von x = 0,5 abgeschieden, um die Aufteilung der Gitterverspannung zwischen
Si-Nanoinsel und Ge-NHE zu maximieren.
To realize coherent nanostructures, Ge was deposited
in a reduced pressure chemical-vapour deposition
(RP-CVD) reactor from high purity germane on silicon
nano-islands which were fabricated on 200 mm-SOI
wafers by lithographic structuring and subsequent
dry etching. A two-dimensional pattern of cylindrical
Si islands 100 nm wide with 360 nm periodicity was
realized. Between Ge and Si, a thin SiGe buffer layer
with a nominal Ge content of x = 0.5 was deposited
to maximize the strain partitioning between Si nanoislands and Ge NHE.
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
Die Gitterkohärenz der Nanostrukturen wurde mit
Transmissionselektronenmikroskopie (TEM) (Abb. 26)
bestätigt. Die Gitterverspannung und die Komposition
wurden an der Beamline ID01 der Europäischen Synchrotronstrahlungs-Einrichtung (ESRF) mit energiedispersiver Röntgenbeugung bei streifendem Einfall
(SR-GID) untersucht. Die Methode ermöglicht eine sehr
genaue Bestimmung sowohl der Kristallstruktur als
auch des Ge-Gehalts in dem Ge / SiGe / Si-Nanosystem
(Abb. 27). Für das theoretische Verständnis wurden in
Zusammenarbeit mit der Università di Milano-Bicocca
lineare Elastizitätstheorie-Rechnungen basierend auf
der Finite-Elemente-Methode (FEM) durchgeführt
(Abb. 28). Geometrie und Ge-Gehalt der heteroepitaktischen Struktur in den Simulationen wurden dem TEMQuerschnittsbild (Abb. 26) und der Röntgenanalyse
entnommen.
–
S e le c te d
P r o j e c ts
The lattice coherence of the nanoheterostructures
was confirmed by Transmission Electron Microscopy
(TEM) (Fig. 26). Their lattice strain and the composition were investigated by synchrotron-radiation
energy dispersive grazing incidence x-ray diffraction
(SR-GID) performed at the beam line ID01 of the European Synchrotron Radiation Facility (ESRF) which
allows not only for a very sensitive determination of
the crystal structure but also of the Ge content in the
Ge / SiGe / Si nano-system (Fig. 27). For theoretical
understanding, linear elasticity-theory calculations
based on the Finite-Element Method (FEM) were applied in cooperation with Università di Milano-Bicocca (Fig. 28). Geometry and Ge content of the heteroepitaxial structure in the simulations were based on
the TEM cross-section (Fig. 26) and the X-rays analysis.
Abb. 26: a) Aufsicht-TEM-Mikrograph der Ge / SiGe-Puffer / Si Nanostrukturen im BF-Modus. Es können keine Fehl versetzungen detektiert werden. b) Querschnitt-STEM HAADF-Mikrograph der Nanostruktur. Die typische
facettierte Geometrie ist zu sehen. Die Probe ist aus
Präparationsgründen in SiO2 eingebettet.
Fig. 26: a) Plan-view TEM micrograph of Ge / SiGe buffer / Si
nanostructures performed in BF mode. There are no
misfit dislocations detectable. b) Cross-section STEM
HAADF micrograph of the nanostructure showing the
typical faceted geometry. The sample is covered by
SiO2 for preparation reasons.
An n ual
R ep ort
2013
61
A u sg e w ä h l t e
P r o j e k te
Sowohl die Röntgenanalyse als auch die Simulationen
zeigen, dass das meiste Volumen der äußeren Ge-Kruste
elastisch relaxiert ist, weil die Ge-Gitterkonstante durch
die vielen freiliegenden Facetten wiedererlangt werden
kann. In der Folge zieht das Ge an der inneren Si-Insel
und erzeugt dort eine tensile Verspannung. Die Schlüsselrolle, die die SiGe-Pufferschicht bei der Verhinderung
von Fehlversetzungen bei so großen Strukturen spielt,
wird durch die theoretische Modellierung unterstrichen:
In Simulationen ohne den SiGe-Puffer ist die Verspannung im Si fast doppelt so groß und das Ge nahe an
der inneren Si-Insel wird komprimiert. In diesem Fall
würde die Energie des Systems durch Einfügen von
Fehlversetzungen, die die große Verspannung des Si abbauen, stark abgesenkt. Dementsprechend tritt in Experimenten ohne SiGe-Puffer ein Netzwerk von linearen
Fehlversetzungen an der Ge/Si-Grenzfläche auf.
–
S e le c te d
P r o j e c ts
Both X-rays analysis and simulations show that most
of the volume of the outer Ge crust is elastically relaxed, because the abundance of free exposed facets
allows for the Ge lattice parameter to be recovered. As
a result, Ge pulls laterally at the inner Si island, causing in it a tensile strain. The key role played by the
SiGe buffer layer to avoid misfit dislocations at such
large sizes is highlighted by theoretical modeling. In
simulations without the SiGe buffer, the tensile strain
in the Si is almost doubled and the Ge region close
to the inner Si island is now compressed. Then, the
injection of misfit dislocations would strongly lower
the energy of the system, as it relieves the huge tensile strain of the Si island. Accordingly, experiments
without SiGe buffer reveal an array of linear misfit defects at the Ge/Si interface.
Abb. 27: a) In-plane (400) radiale Anomale-Röntgenbeugungs Scans der Ge / SiGe-Puffer / Si-Probe umgerechnet in
Gitterkonstante, gemessen bei drei verschiedenen Rönt genenergien unterhalb der Ge K-Kante (Ec). b) Daraus
bestimmter Ge-Gehalt über der in-plane Gitterkonstante.
Fig. 27: a) In-plane (400) radial anomalous x-ray diffraction
scans converted to lattice parameter measured at three
different beam energies below the Ge K-edge (Ec) of a
Ge / SiGe buffer / Si sample.
b) Determined Ge content vs. in-plane lattice parameter.
Abb. 28: a) 3D-Finite-Elemente-Simulationsmodell der Ge (blau) /
SiGe-Puffer (grün) / Si (rot) Nano-Heterostruktur.
b) Simulierte Verspannung in der Struktur. Die fast voll ständige Relaxation der äußeren Ge-Kruste ist deutlich
zu sehen.
Fig. 28: a) Finite element 3D simulation model of the Ge (blue) /
SiGe buffer (green) / Si (red) nano-heterostructure.
b) Simulated strain distribution in the structure showing
the almost complete relaxation of the outer Ge crust.
62
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Herstellung von Graphentransistoren mittels
CMOS-kompatibler Prozesse
Realization of Graphene Transistors with
CMOS Compatible Processes
Ziel des Projektes ist die Entwicklung von graphenbasierten Hochfrequenzbauelementen unter Verwendung
von CMOS-üblichen Materialien und Prozessen.
The goal of the project is the development of high
frequency graphene-based electronic devices using
CMOS compatible materials and processes.
Graphen und graphenbasierte Bauelemente haben
großes Potential, den Einsatz und die Funktionalität
der Si-CMOS-Technologie enorm zu erweitern. GraphenModule (z.B. für Kommunikation, Optoelektronik,
Sensorik) können mit überlegener Leistung neuartige
Anwendungen in Bereichen wie Konsumer- und Automobilelektronik sowie in der Medizintechnik ermöglichen.
Die Kombination von graphenbasierten, nichtdigitalen
Funktionalitäten mit digitaler CMOS-Technologie erfordert die Integration dieser neuen Materialien in die
existierende Si-Plattform und somit die Herstellung der
Graphen-Bauelemente unter Verwendung der in der SiTechnologie üblichen Materialien und Prozesse.
Graphene and graphene-based devices have great potential to considerably extend the use and functionality of the Si CMOS technology. Graphene-enhanced
modules (e.g. RF communication, optoelectronics,
sensing) with superior performance can provide for
innovative applications in many branches such as
consumer electronics, automotive electronics, medical applications etc, by improving the interaction
between the user and the outside world. Combination
of the graphene-enabled non-digital functionalities
with the digital CMOS world on one chip will require
integration of this new material into the existing Si
platform and fabrication of graphene devices using
materials and processes established in the mainstream Si integrated circuit manufacturing.
Das IHP entwickelt daher Verfahren zur Herstellung von
Graphen-Bauelementen, die z.B. in Transistor-, Sensoroder optoelektronischen Technologien in einer professionellen Si-Produktionslinie eingesetzt werden können.
Ein Prototyp für die technologische Entwicklung ist der
Graphen-Basis-Transistor (GBT) – ein am IHP entwickeltes Konzept für ein elektronisches Bauelement mit
zu erwartender herausragender Hochfrequenzleistung.
At IHP we develop a graphene device fabrication
scheme which can be used to manufacture a wide
range of graphene devices such as transistors, sensors, or optoelectronic components in a professional
Si technology line. A vehicle for this technology development is the graphene base transistor (GBT) – an
electronic device concept developed at IHP for which
an outstanding high frequency performance is predicted.
Die Abb. 29 zeigt einen GBT, der in der IHP-Pilotlinie
unter Verwendung von ausschließlich CMOS-kompatiblen Materialien und Prozessen auf 200 mm SiScheiben hergestellt wurde. Abb. 29(a) zeigt in einer
rasterelektronenmikroskopischen Aufnahme (REM) die
Graphen-Basis die mit dem strukturierten HfO2 / TiNKollektorstapel abgedeckt ist. Abb. 29(b) und 29(c)
zeigen mit dem Transmissionselektronmikroskop den
Querschnitt eines GBT-Bauelementes mit W-Via- und AlMetallisierung. Erste elektrische Charakterisierungen
bestätigen, dass die Leitfähigkeit der empfindlichen
Fig. 29 shows images of first GBT devices fabricated
in the IHP pilot line on 200 mm wafers using only
CMOS compatible materials and processes. Fig. 29(a)
is a scanning electron micrograph (SEM) taken after
patterning of the graphene base and the HfO2 / TiN
collector stack. Figs. 29(b) and (c) show scanning
transmission electron microscopy images illustrating
the cross section of a finished GBT device with W via
and Al metallization. Initial electrical characterization demonstrates that the fragile single graphene
layer preserves its conducting characteristics even
An n ual
R ep ort
2013
63
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 29:Herstellung eines Graphen-Bauelementes in der IHP-Pilotlinie: (a) REM eines GBT nach Graphenbeschichtung und Strukturierung des
HfO2 / TiN-Kollektorstapels (E: Emitter; B: Basis; C: Kollektor), (b) STEM-Querschnitt eines fertigen GBT mit W-Via- und
Al-Metallisierung.
(c) Der vergrö ßerte Bereich zeigt die Bedeckung der Basiskontaktstufe mit Graphen.
Fig. 29: Fabrication of graphene devices in the IHP pilot line: (a) SEM of a GBT device after graphene base deposition and patterning of the
HfO2 / TiN collector stack (E: emitter; B: base; C: collector), (b) STEM cross-section of a finished GBT with W via and Al metallization.
(c) In the magnified region, the edge of the monolayer graphene covering the TiN base contact step is visible.
64
monoatomaren Graphen-Schicht auch nach mehrfach
anspruchsvoller technologischer Behandlung mit etwa
2 kΩ / sq erhalten bleibt. Dies ist eine wichtige und ermutigende Aussage für die weitere Arbeit an der Integration von Graphen in die Si-Technologie.
after many steps of harsh technological processing
showing sheet resistance of about 2 kΩ / sq. This is a
very important and encouraging outcome for further
work towards the integration of graphene into the Si
technology platform.
Weiterführende Untersuchungen beziehen die anspruchsvolle Suche nach Lösungen für den Metall / Graphen-Kontaktwiderstand und die homogene Beschichtung von Graphen mit isolierenden und halbleitenden
Schichten mittels Chemischer Dampfphasenabscheidung (CVD) ein.
Ongoing further research includes the challenging
search for solutions associated e.g. with the metal / graphene contact resistance and the uniformity
of dielectric and semiconducting layers deposited
onto graphene by chemical vapor deposition (CVD).
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Simulationen für monolithische SAW-Bauelemente mit der Finite-Elemente-Methode
(FEM)
Simulations for Monolithic SAW-devices
Using the Finite Elements Method (FEM)
Dieses Projekt zeigt, dass die Integration von SAW
(surface acoustic wave)-Bauelementen in einem konventionellen Si-CMOS-Prozess möglich ist. Aufgrund
der hohen photolithographischen Auflösung der CMOSTechnologie können die SAW-Bauelemente im GHz-Bereich arbeiten. Der CMOS-kompatible Schichtaufbau ist
schematisch in Abb. 30a dargestellt. Anstatt der konventionellen Bauweise, in der die Interdigital-Wandler
(IDT) auf der Oberseite des piezo-elektrischen Films
angeordnet sind, bestehen die IDTs in diesem Fall aus
Wolframfingern, die in einer amorphen SiO2-Schicht
eingebettet sind. Die hohe lithographische Auflösung
der 0,13-μm-CMOS-Technologie ermöglicht die Herstellung von akustischen Bauelementen für Frequenzen
von bis zu 6 GHz. Die Resonanzfrequenzen der akustischen Moden, die durch die eingebetteten IDTs erzeugt
werden, können durch ein elastisches FEM-Modell für
die untersuchte Schichtstruktur beschrieben werden.
Abb. 31b zeigt die vier Resonanzmoden der zwei ersten
Rayleigh-Wellen R0 und R1.
This project demonstrates that the integration of SAW
(surface acoustic wave) elements in a conventional Si
CMOS process can be accomplished by taking full advantage of the high photo-lithographic resolution to
produce GHz SAW devices. The CMOS compatible layer
configuration is displayed schematically in Fig. 30a.
Instead of the conventional design with the transducers placed on top of the piezoelectric film, the
interdigital transducers (IDT) in our case consist of
tungsten (W) stripes embedded in an amorphous SiO2
layer underneath a piezoelectric Aluminum-nitride
(AlN) film. The high photolithographic resolution of
the 0.13 μm CMOS technology allows the fabrication
of acoustic delay lines for frequencies approaching
6 GHz. The resonance frequencies of the acoustic
modes generated by the embedded IDTs can be described by an elastic FEM model for the investigated
layer system. Fig. 31b presents the four resonance
modes of the first two Rayleigh modes R0 and R1.
SAW-Bauelemente werden häufig in modernen Kommunikationssystemen, wie Hochfrequenz-Front-EndModulen, sensorischen Anwendungen zur Erkennung
von Druck und Temperatur oder mikrofluidischen
Anwendungen benötigt. Seit wenigen Jahren ist das
Interesse für die SAW-Biosensoren z. B. zum Hepatitis-B-Antikörper-Nachweis, aufgrund der hohen Empfindlichkeiten und schnellen Reaktionszeiten steigend.
Zudem steigt das Interesse an SAW-Bauelementen mit
Aluminiumnitrid (AlN) als piezo-elektrisches Material
u.a. durch die Möglichkeit der Integration in die CMOSTechnologie. Zur Realisierung von kostengünstigen Systemen wie z.B. selektiven biologischen Sensor-Arrays
mit hoher Güte ist es notwendig, SAW-Filter und die
entsprechende elektronische Schaltung auf einem Chip
zu kombinieren.
Surface acoustic wave devices (SAW) are commonly
applied in modern commercial communication systems like radio-frequency (RF) front-end modules in
cell phones, sensor devices for the detection of pressure and temperature or microfluidic applications.
Since a few years, the interest in SAW biosensors, for
example for hepatitis B antibody detection, has been
rising due to the high sensitivity and fast response
times. In addition, SAW-devices with aluminium nitride (AlN) as piezoelectric material have generated
a growing interest due to the integrability in CMOS
technologies. For the realization of low-cost devices
such as selective biological sensor arrays with high
performance, it is necessary to combine SAW filters
and the corresponding electronic circuit on one chip.
An n ual
R ep ort
2013
65
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Für ein detailliertes Verständnis und zur Optimierung
der akustischen Eigenschaften für CMOS-kompatible
SAW-Filter sind detaillierte Simulationen mit den zwei
CMOS-kompatiblen Elektrodenmaterialien W und Al
notwendig um die Elektrodenreflektivität sowie den
elektromechanischen Kopplungsfaktor K2 zu optimieren. Diese Parameter haben einen großen Einfluss auf
die Einfügedämpfung und die Bandbreite solcher Filter.
For a detailed understanding and for optimization
of the acoustic properties for CMOS compatible SAW
filters, it is necessary to make detailed simulations
with the two CMOS compatible electrode materials W
and Al for calculating the electrode reflectivity dependence and the electromechanical coupling factor
K2 which have a strong influence on the insertion loss
as well as the bandwidth of such filters.
Die Resultate zeigen, dass die Reflektivität der W-Elektroden ein bis zwei Größenordnungen höher ist als die
der Al-Elektroden (Abb. 31 links). Die größere Reflektivität der W-Elektroden wird durch die höhere Massedichte verursacht (W= 19,3 g / cm3 und Al = 2,7 g /cm3).
The results show that the reflectivity of W-electrodes
is one to two magnitudes larger than that of Al-based
electrodes (Fig. 31 left). The larger reflectivity of
W-electrodes is caused by its higher density of mass
(W = 19.3 g / cm3 and Al = 2.7 g / cm3).
Abb.30: (a) Schematische Darstellung des Schichtaufbaus für das FEM-Modell welches für die Simulation benutzt wurde.
(b) Partikelauslenkungen für eine harmonische Analyse bei 1 V Elektrodenspannung der ersten vier simulierten akustischen Moden.
Fig 30: (a) Schematic drawing of the layer configuration used for FEM simulation.
(b) Particle displacement by harmonic analysis at 1 V of the first four acoustic modes.
66
Annua l
Re p or t
2013
A u sg e w ä h l t e
P r o j e k te
–
S e le c te d
P r o j e c ts
Abb. 31 (rechts) illustriert den Kopplungsfaktor für
AlN / SiO2 / Si(100)-Strukturen mit 600 nm SiO2 und
ohne SiO2 . Die Struktur mit der SiO2-Schicht zeigt einen
höheren Kopplungsfaktor als ohne SiO2. Die maximale
Kopplung der Rayleigh-Welle R0 mit Al-Elektroden liegt
bei 2 % und ist damit etwas höher als mit W-Elektroden
(1,83 %). Die Mode R1 zeigt nur sehr geringe K2-Werte
von maximal 0,35 %. Diese Werte decken sich mit experimentellen Resultaten.
Fig. 31 (right) illustrates the coupling factors for
AlN / SiO2 / Si(100) structures with 600 nm SiO2 and
without SiO2. The stacked structures with SiO2 layers
exhibit higher coupling factors than the ones without SiO2. The maximum value of the mode R0 with Alelectrode is 2 % and is slightly higher than the one
with W-electrodes (1.83 %). Mode R1 exhibits low
electromechanical coupling characteristics and has
its maximum at 0.35 %. These calculated results fit
well with experimental results.
Mithilfe dieser FEM-Resultate können optimierte kosteneffektive CMOS-integrierte SAW-Sensoren für die
Multiparameteranalyse sowie integrierte SAW-Filter für
die drahtlose Datenkommunikation hergestellt werden.
Thanks to these FEM simulation results, optimized
cost effective CMOS integrated sensitive SAW sensors
for multiparameter analysis as well as SAW filters for
wireless communication can be processed.
Abb. 31: (links) Reflektivität als Funktion der AlN-Dicke bei einer Wellenlänge von 1,68 µm und khSiO2 = 2,24 mit 100 nm dicke W/Al-Elektroden.
(rechts) Elektromechanischer Kopplungsfaktor einer SAW-Strukturen mit W-Elektroden (durchgezogene Linien) und mit Al-Elektroden
(gestrichelte Linien) als Funktion der AlN-Schichtdicke.
Fig. 31: (left) Reflectivity as a function of AlN thickness at 1.68 µm wavelength for khSiO2 = 2.24 and 100 nm thick W/Al-electrodes.
(right) Electromechanical coupling coefficient of SAW structures with W-electrodes (solid lines) and Al-electrodes (dotted lines)
as function of AlN thickness.
An n ual
R ep ort
2013
67
Joint Labs
68
Annua l
Re p or t
2013
G em ei n sa m e
L ab o r E
–
J o i n t
L ab S
Gemeinsames Labor IHP / BTU Cottbus-Senftenberg
„Materialforschung“
Joint Lab IHP / BTU Cottbus-Senftenberg
“Materials Research“
Das Gemeinsame Labor IHP / BTU auf dem Campus der
Brandenburgischen Technischen Universität CottbusSenftenberg (BTU) in Cottbus besteht seit 2000. Es
bündelt die Forschungspotentiale beider Partner und
leistet, unter maßgeblicher Einbeziehung von Studierenden, interdisziplinäre Forschung auf dem Gebiet der
Halbleitermaterialien. Dabei bezieht es Lehrstühle der
BTU wie Experimentalphysik, Theoretische Physik oder
Schaltkreisentwurf in seine Forschungstätigkeit ein.
The Joint Lab IHP / BTU located on the campus of
the Brandenburg University of Technology CottbusSenftenberg (BTU) was founded in 2000. It pools
the research potential of the partners IHP and BTU,
conducts interdisciplinary research – with substantial participation of students – in the field of semiconductor materials and actively involves chairs of
BTU, such as e.g. Experimental Physics, Theoretical
Physics or Circuit Design, in its research activities.
National kooperiert das Gemeinsame Labor (Joint Lab)
im Rahmen seiner Projektarbeit mit einer Reihe von
Forschungseinrichtungen, wie dem MPI für Mikrostrukturphysik Halle, den Universitäten Stuttgart, Halle und
Göttingen, der TU Berlin, dem HZB Berlin oder dem IKZ
Berlin sowie mit Unternehmen aus der Silizium-Branche, wie der Siltronic AG.
Within the framework of its research projects, the
Joint Lab collaborates nation-wide with various research facilities such as the MPI of Microstructure
Physics Halle, universities in Stuttgart, Halle and Göttingen, TU Berlin, HZB Berlin and IKZ Berlin as well as
with silicon companies such as Siltronic AG.
Unter den bestehenden internationalen Verbindungen
sind besonders die enge Kooperation mit der Universität St. Petersburg (Russland) und die Kontakte zur
Zhejiang Universität in Hangzhou (China) hervorzuheben. Darüber hinaus war das Gemeinsame Labor an der
Vorbereitung der 15. Internationalen Konferenz „Gettering and Defect Engineering in Semiconductor Technology“ (GADEST 2013) beteiligt, die im September 2013
in Oxford (UK) abgehalten wurde.
Among the various international scientific contacts,
particular mention deserves the close collaboration
with the Institute of Physics at the St. Petersburg
State University (Russia) and the long standing
contacts with the Zhejiang University in Hangzhou
(China). Furthermore, the Joint Lab was involved in
the preparation of the 15th International Conference
“Gettering and Defect Engineering in Semiconductor
Technology” (GADEST 2013) which was held in Oxford
(UK) in September 2013.
Das Gemeinsame Labor führt Forschungsarbeiten durch,
deren Ziel es ist, bisher ungenutzte Eigenschaften des
Siliziums für einen künftigen Einsatz auf neuen Gebieten zu erschließen und hat damit begonnen, die Eigenschaften von Germanium-Zinn-Schichten im Hinblick
auf photonische Anwendungen zu bewerten. Auf Basis
der Ergebnisse dieser Vorlaufforschung können für das
IHP Entscheidungen zu seiner zukünftigen inhaltlichen
Ausrichtung vorbereitet werden. Das BMBF-Projekt SiGeTE, in dem die Einsetzbarkeit von Si-Schichten mit
Versetzungsnetzwerken für thermoelektrische Anwendungen evaluiert wurde, wurde abgeschlossen. Die
The Joint Lab conducts research aimed at utilizing so
far unused properties of silicon for future new application areas. Recently, it has started to evaluate the
properties of germanium-tin layers with respect to
use in photonics. The results of this forerunning research will support IHP´s decision-making regarding
its future research directions. The BMBF project SiGeTE, whose aim was to evaluate whether Si layers with
dislocation networks can be used for thermoelectric
applications was completed in 2013. The photovoltaic research at the Joint Lab was not intensified in
2013 unlike in the years before and comprised the
An n ual
R ep ort
2013
69
G em ei n sa m e
L ab o r E
J o i n t
L ab S
Arbeiten zur Photovoltaik wurden im Vergleich zu den
Vorjahren in 2013 nicht weiter intensiviert. Es laufen
noch die Mitarbeit im „Kompetenzzentrum Dünnschicht- und Nanotechnologie für Photovoltaik Berlin“
(PVComB) und im BMU-Verbundprojekt SolarWinS, das
Anfang 2014 abgeschlossen wird. Weiter wird durch das
Joint Lab ein externer Doktorand der Firma SolarWorld
Innovations GmbH betreut.
participation in the “Competence Centre Thin Film
and Nanotechnology for Photovoltaics Berlin” (PVComB) and in the BMU project SolarWinS. In addition,
an external doctorate candidate from the company
SolarWorld Innovations GmbH was supervised by the
Joint Lab.
Die nachfolgend aufgeführten Forschungsschwerpunkte
sollen Beiträge zur Weiterentwicklung der Mikroelektronik, zur Einführung einer Si-basierten Nanoelektronik
und Photonik und zur Unterstützung der Photovoltaik
liefern und werden im Rahmen von Projekten, meist in
Arbeitsteilung mit externen Partnern und unter Hinzuziehung von BTU-Lehrstühlen, verfolgt:
- Versetzungs-Engineering für Lichtemitter und andere
Anwendungen, wie z.B. Si-basierte Thermoelektrik
- Ge- und GeSn-Schichten für photonische
Anwendungen
- Elektrische Aktivität von Kristalldefekten in
Solar-Si für ein Wirkungsgrad-Engineering in der
waferbasierten und Dünnschicht-Photovoltaik
- Si-Wafer für zukünftige Technologiegenerationen.
The research topics listed below aim to deliver contributions for the future development of microelectronics, for the implementation of Si-based nanoelectronics and photonics, and for the support of
photovoltaics. The activities are organized in the
form of projects, usually carried out in collaboration
with external partners and including BTU chairs:
- Dislocation-engineering and Ge layers for light
emitters and other applications, e.g. Si-based
thermoelectric generators
- Ge and GeSn layers for photonic applications
- Electrical activity of crystal defects in solar silicon
for support of efficiency engineering in
wafer-based photovoltaics
- Si wafers for future technology generations.
Im Jahr 2013 bearbeitete bzw. beendete das Gemeinsame Labor fünf Drittmittelprojekte, darunter zwei
BMBF-Projekte, ein BMU-Projekt, ein DFG-Projekt und
ein Industrieprojekt. Durch diese Projekte standen in
2013 etwa 650.000 Euro Drittmittel zur Verfügung, die
vom IHP bzw. der BTU verwaltet wurden.
In 2013, the Joint Lab worked on or finished five projects funded by third parties, among them two projects funded by BMBF (Federal Ministry of Education
and Research), one project funded by BMU (Federal
Ministry for the Environment, Nature Conservation
and Nuclear Safety), one DFG project and one industry funded project. About € 650,000 third-party funds
were available for the projects running in 2013. The
funds were administered by IHP and BTU, respectively.
Das Gemeinsame Labor unterstützt das Lehrangebot
der BTU mit Seminaren, Übungen und Praktika. Im Jahr
2013 wurden zwei Promotionen und eine Diplomarbeit
abgeschlossen sowie das Industriepraktikum eines taiwanesischen Studenten betreut.
The Joint Lab supports teaching at BTU CottbusSenftenberg by conducting tutorials, exercises and
practical courses. In 2013, two PhD theses as well as
one diploma thesis were finished by members of the
Joint Lab. Furthermore, the industry internship of a
Taiwanese student was supervised.
For further information about the Joint Lab please visit the website www.jointlab.de.
Weiterführende Informationen über das Gemeinsame
Labor sind unter www.jointlab.de abrufbar.
70
–
Annua l
Re p or t
2013
G em ei n sa m e
L ab o r E
–
J o i n t
L ab S
Gemeinsames Labor IHP / TH Wildau (FH)
„Hochgeschwindigkeitsbauelemente / Photonik“
Joint Lab IHP / TUAS Wildau
“High-speed devices / Photonics”
Im Zuge der engen Zusammenarbeit zwischen dem IHP
und der TH Wildau (FH) im Joint Lab wurde auch im
Jahr 2013 an dünnen, funktionalen Kohlenstoffschichten (graphenartige und graphenhaltige Schichten)
geforscht. Ziel ist die Entwicklung transparenter, leitfähiger Schichten, wie sie u.a. in Flachbildschirmen
und Solarzellen zur Anwendung kommen. Hier geht es
speziell um Ersatz von ITO (Indium-Zinn-Oxid). Indium
zählt zur Gruppe der seltenen Erden und ist daher nur
begrenzt verfügbar. Zur Herstellung der ultradünnen
Kohlenstoffschichten wurde an der TH Wildau eine Anlage zur plasmagestützten Gasphasenabscheidung (PECVD) von Graphen und graphenartigen Schichten auf
isolierenden Materialien entwickelt. Bei diesem Verfahren wird über einer erwärmten Probe im Vakuum ein
Plasma mit unterschiedlicher Gaszusammensetzung gezündet (Abb. 32). Bei der Charakterisierung der dafür
hergestellten Schichten konnten die TH Wildau und das
IHP ihre Kompetenzen besonders im Bereich der Messtechnik bündeln. Im IHP wurden hierzu Messungen der
Leitfähigkeit und Ladungsträgerbeweglichkeit mithilfe
eines Hall-Messplatzes durchgeführt. Untersuchungen
mittels Raman-Spektrometrie erfolgten bei beiden Kooperationspartnern inklusive der graphischen Darstellung durch hochauflösendes Mapping der Probenoberfläche. Weiterhin erfolgten am IHP Untersuchungen
der Oberflächenmorphologie (Rauheit, Homogenität)
mittels AFM (Atomkraftmikroskopie) sowie REM-Aufnahmen der Bruchkante (Rasterelektronenmikroskopie). Hier konnten wichtige Parameter wie z.B. Schichtdicke und Homogenität ermittelt werden. An der TH Wildau
wurden darüber hinaus die Charakterisierungen durch
Messungen der Transmissions- und Reflektionseigenschaften der Kohlenstoffschichten mittels Spektralphotometrie
und -reflektometrie vervollständigt. Die Bestimmung der
optischen Konstanten (n, k) erfolgte ebenfalls an der TH
Wildau durch Messungen mittels Spektralellipsometrie.
Durch diese Bündelung der Kompetenzen konnten die
hergestellten Schichten präzise vermessen und charakterisiert werden, was direkt in die Prozessentwicklung bei der
Optimierung der Schichteigenschaften einfloss.
Within the framework of the close cooperation between IHP and the University of Applied Sciences
Wildau (UASW) at Joint Lab, also in 2013 research
continued on thin and functional carbon layers (graphene-like and graphene-containing layers). The aim
is the development of transparent, conductive layers
as applied in flat screens or solar cells. Above all, the
focus is on the substitution of ITO (indium tin oxide).
Indium is a rare earth element and only available in
limited quantities. To manufacture the ultrathin carbon layers, a facility has been developed at UASW for
plasma-enhanced vapor deposition of graphene and
graphene-like layers on isolating materials. With this
technique plasma with a different gas composition is
ignited above a heated sample (Fig. 32). When characterizing the produced layers, UASW and IHP were
able to bundle their skills, especially in the field of
measuring technology. Measurements of conductivity and charge carrier mobility were performed at
IHP with a Hall measuring station. Investigations
with Raman spectroscopy were carried out by both
cooperation partners including the graphical representation of high resolution mapping of the sample
surface. IHP also conducted investigations of surface
morphology (roughness, homogeneity) by means of
AFM (Atomic Force Microscope) and SEM (Scanning
Electron Microscope) images of breaklines. Hereby
important parameters such as thickness and homogeneity were identified. Furthermore, at UASW the
characterizations were completed by measurements
of transmission and reflection properties with the
help of spectrophotometry and spectral reflectometry. The identification of optical constants (n, k) was
also carried out at UASW by measurements of spectral
ellipsometry. This bundling of competences made it
possible to precisely measure and characterize the
produced layers, which had a direct impact on process
development for the optimization of the layer properties.
An n ual
R ep ort
2013
71
G em ei n sa m e
L ab o r E
–
J o i n t
L ab S
Im Rahmen des Projektes „Delta“ wurden Untersuchungen zu Silizium-Organik Hybrid (SOH)-Modulatoren begonnen. In diesem Projekt soll ausgehend von
den technologischen Möglichkeiten des Schaltkreisdesigns eine Erweiterung durch Ausnutzung nichtlinearer Eigenschaften organischer Komponenten vorgenommen werden. Diese auf Schlitzwellenleitern basierenden Komponenten wurden mittels numerischer
Simulationen optimiert und in ein Design-Layout umgesetzt. Die Modulatoren sind bis zur ersten Metallisierung so konzipiert, das ein späterer Ätzvorgang die
Schlitzwellenleiter freilegen kann. Anschließend wird
ein organisches Material mit optisch nichtlinearen
Eigenschaften abgeschieden.
Within the scope of the project “DELTA”, investigations of Silicon-Organic Hybrid (SOH) Modulators
started. Aim of this project is, based on the technological opportunities of circuit design, the extension
of the investigations by utilization of non-linear properties of organic components. These components,
built on slotted waveguides, were optimized by
means of numerical simulation and realized in a design layout. The modulators are designed up to the
first metallization in a way that a later etching can
uncover the slotted waveguides. This step is followed
by deposition of organic material which has optical
non-linear properties.
Das im Rahmen des Bachelorstudiums im IHP durchgeführte Praktikum hat sich etabliert. Die Studenten
der TH Wildau werden mit der komplexen Arbeitsweise
im IHP vertraut gemacht. Auch 2013 wurden von Studenten im Rahmen von Forschungsthemen interessante
Bachelor- und Masterarbeiten erarbeitet. Es wurden
Untersuchungen zur Terahertzspektroskopie, zum Graphentransfer und zu neuartigen Speicherbauelementen
(ReRAMs) durchgeführt.
The practical course conducted at IHP within the
scope of the bachelor’s program has been established.
Students of UASW become acquainted with the complex working methods at IHP. Also in 2013, interesting
topics of research were the subjects of bachelor and
master theses submitted by UASW students. Investigations focused on Terahertz Spectroscopy, graphene
transfer and novel memory components (ReRAM).
Metallelektroden
Schlitzwellenleiter
organisches
SiO2 Mantelmaterial
SiO2 Silizide
n dotiertes
Silizium
Abb. 32: Argon-Methanplasma zur Abscheidung von Graphen auf
Isolatoren.
Quelle: von Amsberg.
Fig. 32: Argon-Methan plasma for depositing graphene on
isolators.
Source: von Amsberg
72
Annua l
Re p or t
2013
n+ dotiertes
Silizium
Wolframkontakt
Abb. 33: Querschnitt von zwei parallellaufenden Schlitzwellen leitern. Das Layout wurde bis zur ersten Metallisierung
entwickelt (nicht maßstabsgerecht).
Fig. 33: Cross section of two parallel slotted waveguides. The
layout was developed up to the first metallization
(not to scale).
G em ei n sa m e
L ab o r E
–
J o i n t
L ab S
Gemeinsames Labor IHP / TU Berlin
„Silizium-Photonik“
Joint Lab IHP / TU Berlin
“Silicon Photonics”
Die Photonik hat sich in jüngster Zeit zu einer Schlüsseltechnologie mit einem breiten Anwendungsspektrum, das sich nicht mehr nur auf das Gebiet der
optischen Kommunikation beschränkt, entwickelt.
Optische Funktionalität ist hierbei das entscheidende
Kriterium für kommerzielle Erfolge. Einen Schwerpunkt
der gegenwärtigen Forschung und Entwicklung bilden
integrierte photonische Technologien, beruhend auf der
Vereinigung von Silizium-IC-Elektronik mit integrierter
Optik (Siliziumphotonik).
Photonics is becoming a key technology in everyday
life. The application of photonics technologies is no
longer limited to optical communications. Optical
functionality is a crucial criterion for commercial success. Many present developments focus on integrated
photonics technologies, in particular on the convergence of silicon IC technology and integrated optics
(silicon photonics).
Die Entwicklung einer photonischen Technologie, basierend auf den am IHP etablierten BiCMOS-Technologien,
erfolgt in enger Kooperation mit der Technischen Universität Berlin (FG Hochfrequenztechnik / Photonik).
Das IHP profitiert dabei von dem an der TU Berlin verfügbaren photonischen Know-how, wobei andererseits
die TU Berlin für ihre photonischen Forschungen und
Entwicklungen Zugang zu den IHP-Technologien bekommt. Als äußerst effektive Form der Zusammenarbeit hat sich in den letzten Jahren das im Juni 2010
gegründete Joint Lab Silicon Photonics bewährt.
In order to set up a photonics technology based on
IHP’s BiCMOS toolset, a close collaboration with the
TU Berlin (FG Hochfrequenztechnik / Photonik) has
been established. IHP benefits from the photonics
know-how available in Berlin, while Berlin gains access to the technology of IHP for photonic research
purposes. The frame for this collaboration is the Joint
Lab Silicon Photonics, founded in June 2010.
Es besteht eine enge Kooperation mit international
führenden Einrichtungen und Forschergruppen auf dem
Gebiet der Siliziumphotonik. Ausdruck dafür ist die aktuelle Zusammenarbeit in zahlreichen EU-finanzierten
Photonik-Projekten (ESSENTIAL, MERMIG) und der erfolgreiche Abschluss der EU-Projekte HELIOS und GALACTICO im Jahre 2013. Zusätzlich zu den europäischen
Aktivitäten ist das Joint Lab auch an verschiedenen
nationalen und regionalen Projekten zu photonischen
Schwerpunkten beteiligt. Das Joint Lab arbeitet gegenwärtig eng mit industriellen Partnern, wie z. B.
U2T (Deutschland und Großbritannien), Alcatel-Lucent
(Deutschland), NSN-PT, Telecom-Italia, Astrium (Frankreich) und Constelex (Griechenland) zusammen.
Außerdem bestehen auch intensive Kooperationsbeziehungen zu zahlreichen Forschungsinstituten und
Universitäten (IMEC, CEA-LETI, FhG HHI, FhG IZM, UP
Valencia, NTU Athens, TU Wien).
The work is closely linked to international top-level
research in this area, which is reflected by the considerable number of EU-funded projects with the focus
on photonics (ESSENTIAL, MERMIG). In 2013, the EU
projects HELIOS and GALACTICO were finished successfully. In addition to European activities there are
a number of national and regional projects. There is
a close cooperation with industrial partners such as
U2T (Germany & UK), Alcatel-Lucent-D, NSN-PT, Telecom-Italia, Astrium (France) and Constelex (Greece).
Similarly, the Joint Lab cooperates with numerous
research institutes and universities, including IMEC,
CEA-LETI, FhG HHI, FhG IZM, UP Valencia, NTU Athens
and Vienna University of Technology.
An n ual
R ep ort
2013
73
G em ei n sa m e
74
L ab o r E
–
J o i n t
L ab S
Neben den zahlreichen Forschungsaktivitäten verbessert das Joint Lab Silizium Photonik auch die akademische Anbindung des IHP. Das Joint Lab ist mit zwei
Vorlesungen an der TU Berlin vertreten, die von Prof. Dr.
B. Tillack und Dr. L. Zimmermann gehalten werden. Im
Joint Lab werden zahlreiche Studien-, Diplom-, Masterund Doktorarbeiten bis zum erfolgreichen Abschluss
betreut.
In addition to extensive research activities the Joint
Lab actively links IHP to academia. Currently, two
courses at TU Berlin are provided by IHP, lectured by
Prof. B. Tillack and Dr. L. Zimmermann. A considerable number of diploma, master, and PhD theses are
conducted in the frame of the Joint Lab.
Gemeinsames Labor IHP / HU Berlin
„Drahtlose Kommunikationssysteme“
Joint Lab IHP / HU Berlin
“Wireless Communication Systems”
Auf der Basis eines Kooperationsvertrages zwischen der
Humboldt-Universität zu Berlin und dem IHP, wurde
zur Bündelung der Forschungskompetenzen ein Joint
Lab gegründet und im Mai 2012 offiziell eröffnet.
Mit dem Aufbau und der Leitung dieses Joint Lab ist
Prof. Dr. Eckhard Grass betraut.
Based on a cooperation agreement between Humboldt-University Berlin and IHP both institutions
established a Joint Lab. This Joint Lab is to bundle the
research expertise of both institutions. It was formally
opened in May 2012. Prof. E. Grass is in charge of establishing and leading this Joint Lab.
Das Fachgebiet „Drahtlose Breitbandkommunikationssysteme“, vertreten durch die gleichnamige Professur
innerhalb der Technischen Informatik, befasst sich in
Lehre und Forschung mit Kommunikationssystemen
für höchste Datenraten. In der Lehre wird neben den
Grundlagen der Nachrichtentechnik auch auf spezielle
aktuelle Entwicklungen und Standards eingegangen.
Der rapide wachsende Anteil an multimediafähigen
Smartphones und die Einführung von HD- und 3DVideostandards führt zu einem rasanten Anstieg der
notwendigen Übertragungsraten für mobile Endgeräte.
Ausgehend von den Kanaleigenschaften werden Algorithmen, Architekturen und Implementierungsaspekte
für drahtlose Kommunikationssysteme mit höchsten
Datenraten erörtert. Die Studierenden werden an den
Entwurf und die Implementierung von drahtlosen Kommunikationssystemen herangeführt. Das in der Vorlesung vermittelte Wissen wird im Praktikum angewendet
und an konkreten Beispielen in einer Übung vertieft.
His reserach field and subject of teaching is focused
on Wireless Broadband Communication Systems. In
the lectured module “Wireless Broadband Communications Systems”, basic wireless communication
techniques are taught. Special focus is on recent and
future wireless standards such as IEEE802.11ad and
IEEE802.15.3c. The rapidly growing percentage of
smartphones and other wireless devices in conjunction with the introduction of HD- and 3D video standards results in a dramatic increase of required data
rates. Based on wireless channel characteristics, algorithms, architectures and implementation aspects
of wireless communication systems with highest data
rates are presented. The students are introduced to
the developement and implementation of wireless
systems. The material taught in the lecture is put
into practice in a lab class and applied to specific
problems in an exercise.
Annua l
Re p or t
2013
G em ei n sa m e
L ab o r E
Das Joint Lab ermöglicht eine zukunftsweisende, zieloffene und ergebnisoffene Forschungskooperation zwischen der HU Berlin und dem IHP. Die Kompetenzen
beider Einrichtungen werden zum gegenseitigen Nutzen gebündelt.
IHP: Applied Research
Wireless Broadband Communications, Technology,
Application of Wireless Systems,
Localization / Ranging …
• Industry projects from Airbus to VW
• BMBF projects (e.g. PreLocate) –
J o i n t
L ab S
The Joint Lab facilitates a future-oriented and mutually beneficial cooperation between HU Berlin and
IHP. For the benefit of both institutions their resources and competences are bundled.
HU Berlin: Basic Research
Network Architectures, Model-based design,
Digital Signal Processing, Distributed and
Embedded Systems …
• Graduation (METRIK, …)
• DFG projects (e.g. maximimMIMO)
Die Forschungsschwerpunkte des Joint Lab liegen auf
den Gebieten Breitbandkommunikation, Lokalisierungsverfahren, Signalverarbeitung und Codesign
(www.ihp-hub-bb.jointlab.de/).
The main reserach areas pursued in the Joint Lab are
Wireless Broadband Communication Systems, Localization and Ranging as well as Model Based Design
(www.ihp-hub-bb.jointlab.de/).
Im Jahr 2013 wurde ein neues DFG-Projekt mit dem
Akronym „maximumMIMO” bewilligt. Der Fokus dieses
Projektes liegt auf der Erhöhung der spektralen Effizienz mithilfe der massive-MIMO Technologie und unter
Einsatz von hochauflösenden 3D-Antennentopologien
(www.wireless100gb.de). Die Arbeit am Projekt begann im November 2013.
In 2013, a new DFG-Project with the acronym “maximumMIMO” was granted. The focus of this project
is on increasing the spectral efficiency using massive
MIMO technology in conjunction with high-resolution 3D antenna topologies (www.wireless100gb.de).
The actual work on this project started in November
2013.
An n ual
R ep ort
2013
75
G em ei n sa m e
76
L ab o r E
–
J o i n t
L ab S
Gemeinsames Labor IHP / TU Berlin
„Bioelektronik“
Joint Lab IHP / TU Berlin
“Bioelectronics”
Im September 2013 fand die offizielle Eröffnungsveranstaltung des Gemeinsamen Labors an der Technischen Universität Berlin statt. Grußworte kamen u.a.
vom Ersten Vizepräsidenten der TUB, Prof. P.U. Thamsen.
In verschiedenen Vorträgen wurden FuE-Projekte vorgestellt, die sich in Berlin-Brandenburg bereits mit Fragestellungen der Bioelektronik befassen. Für wie relevant
diese Entwicklungen gehalten werden, war an der hohen Zahl von über 100 Teilnehmern aus Mikroelektronik
und Biotechnologie abzulesen.
The official opening ceremony of the Joint Lab took
place at the Technical University Berlin in September
2013. A short welcoming address was given by the
first vice-president, Prof. P.U. Thamsen. Various R&D
projects related to bioelectronics issues were presented from researchers in Berlin-Brandenburg. The relevance of these developments could be anticipated
from the high number of more than 100 participants
coming from microelectronics and biotechnology.
Inhaltlich war die Arbeit des Gemeinsamen Labors mit
der Überführung der am IHP entwickelten Mikrosensorik zum Monitoring von biotechnologischen Prozessen
befasst. Für die Nutzung des affinitätsviskosimetrischen Sensor-MEMS in der Bioprozesstechnik stellen
die schwankenden Umgebungsbedingungen die wesentlichen Randbedingungen dar. Die Untersuchungen
waren damit befasst, den Effekt solch schwankender
Umgebungsbedingungen auf die Funktion des Glucosesensors zu prüfen und entsprechende Kompensationstechniken zu entwickeln. Ein Großteil der Aktivitäten
war der Mikrointegration der Sensoren gewidmet, bei
der der Sensorchip gemeinsam mit dem Affinitätsassay zu integrieren ist. Eine besondere Herausforderung
stellt die filigrane Struktur des BioMEMS dar, dessen Titannitrid-Bügel in seinen Abmessungen im Mikro- und
Nanometerbereich mit den Mikrotubuli des Zytoskeletts
vergleichbar sind. Als hilfreich erwies sich die Synergie
der Laborkapazitäten, in dem die mikroelektronisch orientierten Integrationsschritte am IHP erfolgten, während die folgende „Nassintegration“ samt Befüllung
und Inbetriebnahme an der TU Berlin, Institut für Biotechnologie stattfanden. Damit wurde eine sinnvolle
Arbeitsteilung etabliert, die auch dem Grundgedanken
der Einrichtung des Gemeinsamen Labors entspricht.
The work of the Joint Lab was focused on the transfer of micro-sensorics developed at IHP to monitoring applications in biotechnological processes. The
variation of conditions in bioprocesses represent the
most severe constraints to the usage of the affinity-viscosimetric sensor MEMS. Investigations were
focused on analyzing the effect of these variations
on the glucose sensor operation and on the development of appropriate compensation techniques. Major
activities were devoted to the micro-integration of
the sensor, in which the MEMS chip and the biochemical assay both have to be integrated. A particular
challenge was due to the filigree structure of the
BioMEMS, since the micro- and nanometer dimensions of the mechanical elements are comparable to
those of the microtubules of the cytoskeleton. The
synergy of laboratory capacities turned out to be useful, in which the microelectronics-related integration
steps were performed at IHP while the subsequent
“wet integration” including the handling of the assay and sensor implementation was done at TU Berlin,
Institute for Biotechnology. A useful division of integration activities was thus achieved in accordance
with the basic approach of establishing the Joint Lab.
Annua l
Re p or t
2013
G em ei n sa m e
L ab o r E
Als weiteres Ziel hat sich das Labor die Aufgabe gestellt,
Studenten der Lebenswissenschaften mit der Mikroelektronik vertraut zu machen. Die Ausbildung von Studenten am Gemeinsamen Labor hat dementsprechend
das Ziel, dual ausgebildete Absolventen hervorzubringen, die auf beiden Fachgebieten über berufsqualifizierende Kenntnisse verfügen. Im Sommersemester 2013
wurde zum zweiten Mal die integrierte Lehrveranstaltung „Einführung in die Bioelektronik“ gehalten, die
inzwischen auch als Wahlpflichtveranstaltung in den
Masterstudiengang Biotechnologie an der TU Berlin
aufgenommen wurde.
–
J o i n t
L ab S
As a further goal the Joint Lab intends to acquaint
students from life sciences with microelectronics.
The training at the Joint Lab thus aims at graduating
students who are qualified with skills and competences in both fields. The integrated course “Introduction to Bioelectronics” was given for the second
time during the summer semester and has meanwhile
been included as a compulsory optional course in the
study path of the Biotechnology Master Degree at TU
Berlin.
An n ual
R ep ort
2013
77
Collaboration and Partners
78
Annua l
Re p or t
2013
Z u s a mm e n a r b e i t
u n d
Pa r tne r
–
Co llab o r at i o n
and
Par tn e r s
Industrie / Industry*
adMOS GmbH, Germany
advICo microelectronics GmbH, Germany
Agilent Technologies, USA
Airbus Group, Germany
Alcatel-Lucent Deutschland AG, Germany
Alcatel-Thales III-V Lab, France
ams AG, Austria
ARQUIMEA Ingenieria S. L., Spain
Astrium SAS, France
B•R•A•H•M•S GmbH, Germany
Bachmann electronic GmbH, Germany
Brandenburgklinik Berlin-Brandenburg GmbH
& Co. Kg, Germany
Cambridge Silicon Radio Ltd., United Kingdom
Canadian Microelectronics Corporation Kingston,
Canada
Carl Zeiss AG, Germany
Centellax Inc., USA
Chengdu Alliance Vision Technologies Co./Ltd., China
Cisco Optical GmbH, Germany
Daimler AG, Germany
DAS Photonics S. L., Spain
DEVOLO Aachen, Germany
Ericsson AB, Sweden
Erwin Kayser-Threde GmbH, Germany
European Space Agency, Germany
Evatronix S. A., Poland
France Telecom SA, France
FGUP Pulsar, Russia
Green Way Systems GmbH, Germany
Hittite Microwave Corporation, USA
IBM Research GmbH, Switzerland
IMST GmbH, Germany
Infineon Technologies AG, Germany
InnoSenT GmbH, Germany
Intracom Telecom Solutions S. A, Greece
Lesswire AG, Germany
Liander N. V., The Netherlands
LIMETEC Biotechnologies GmbH, Germany
MEYTEC GmbH Informationssysteme, Germany
Micron JSC Moscow, Russia
Modulight Inc., Finland
NXP Semiconductors Belgium N.V., Belgium
OMMIC, France
Pac Tech GmbH, Germany
Philips, The Netherlands
Philotech GmbH, Germany
Portugal Telecom Inovacao S. A., Portugal
Primed Medizintechnik GmbH, Germany
Ramon Chips Ltd., Israel
Robert Bosch GmbH, Germany
Rohde & Schwarz GmbH & Co. KG, Germany
Saphyrion S. A. G. L., Switzerland
Sentech Instruments GmbH, Germany
Siemens Energy Automation GmbH, Germany
Silicon Radar GmbH, Germany
Siltronic AG, Germany
Space Engineering S.P.A., Italy
SpaceTech GmbH Immenstaad, Germany
ST Microelectronics SA, France
Teklatech A. S., Denmark
Telecom Italia S.P.A., Italy
TES Electronic Solutions GmbH, Germany
Thales Air Systems A. S., France
Trebax AB, Sweden
Universitätsklinikum Gießen / Marburg GmbH,
Germany
U2t Photonics AG, Germany
UP Transfer GmbH, Germany
VI Systems GmbH, Germany
X-FAB Semiconductor Foundries AG, Germany
*Ausgewählte Partner / Selected Partners
An n ual
R ep ort
2013
79
Z u s a mm e n a r b e i t
u n d
Pa r tne r
–
Co llab o r at i o n
and
Par tn e r s
Forschungsinstitute und Universitäten / Research Institutes and Universities*
Aalto University, Finland
Brandenburg University of Applied Sciences, Germany
Brandenburg University of Technology
Cottbus-Senftenberg, Germany
Buskerud and Vestfold University College, Norway
California Institute of Technology, USA
Carleton University, Canada
CEA-LETI, France
CERN, Switzerland
Centre national de la recherche scientifique, France
Consorzio Nazionale Interuniversitario per la
Nanoelettronica, Italy
CSIRO Astronomy and Space Science, Australia
Chemnitz University of Technology, Germany
Democritus University of Thrace, Greece
Deutsches Elektronen-Synchrotron DESY, Germany
ETH Zurich, Switzerland
ETRI- Electronics and Telecommunications Research
Institute, Korea
European Synchrotron Radiation Facility , France
Federal Office for Information Security, Germany
Ferdinand-Braun-Institut, Leibniz-Institut für
Höchstfrequenztechnik, Germany
Forschungszentrum Jülich, Germany
FORTH – Foundation for Research and
Technology – Hellas, Greece
Fraunhofer ENAS, Germany
Fraunhofer HHI, Germany
Fraunhofer IBMT, Germany
Fraunhofer IIS, Germany
Fraunhofer IZM, Germany
Friedrich-Alexander-Universität
Erlangen-Nuremberg, Germany
*Ausgewählte Partner / Selected Partners
80
Annua l
Re p or t
2013
Friedrich Schiller University Jena, Germany
Georgia Institute of Technology, USA
German Aerospace Center, Germany
Helmholtz-Centre Berlin for Materials and Energy,
Germany
Humboldt-Universität zu Berlin, Germany
IMEC, Belgium
Ilmenau University of Technology, Germany
Instituto de Telecomunicacoes, Portugal
Instituto de Fomento de la Región de Murcia – INFO,
Spain
Johannes Kepler Universität Linz, Austria
Karlsruhe Institute of Technology, Germany
KTH Royal Institute of Technology, Sweden
KU Leuven, Belgium
Leibniz Institute for Crystal Growth, Germany
Leibniz University Hannover, Germany
Linköping University, Sweden
MPI für Physik, Germany
Microelectronics Research Institute “Progress”,
Russia
Nanyang University, Singapore
National Institute for Research and Development in
Microtechnologies IMT, Romania
National Information Processing Institute, Poland
National Institute for Materials Science, Japan
National Tsing Hua University, Taiwan
National University of Ireland
Netherlands Organisation for Applied Scientific
Research, The Netherlands
Otto von Guericke University Magdeburg,
Germany
Z u s a mm e n a r b e i t
u n d
Pa r tne r
Paul Drude Institute for Solid State Electronics,
Germany
Polytechnic University of Bari, Italy
Poznan University of Technology, Poland
Research for Science and Technology Ltd.,
United Kingdom
R.M.K. Engineering College, India
Ruhr-University Bochum, Germany
RWTH Aachen, Germany
Sabanci University, Turkey
Slovak Academy of Sciences, Slovakia
South Russian State University, Russia
Stanford University, USA
Technical University of Darmstadt, Germany
Technical University of Applied Sciences Wildau,
Germany
Technical University of Berlin, Germany
Technical University of Dresden, Germany
University of Lodz, Poland
Technical University of Vienna, Austria
Techological Centre MIET, Russia
Thales Alenia Space, France
Tohoku University, Japan
TU Delft, The Netherlands
Tyndall National Institute, Ireland
Uppsala Universitet , Sweden
Universitat Politècnica de València, Spain
Université Bordeaux 1, France
Université Paris-Sud, France
University College Cork, Ireland
University of Bremen, Germany
University of Bristol, United Kingdom
–
Co llab o r at i o n
and
Par tn e r s
University of Calabria, Italy
University of California, Los Angeles, USA
University of Cantabria, Spain
University of Crete, Greece
University of Dundee, United Kingdom
University of Kassel, Germany
University of Korea
University of Lisboa, Portugal
University of Malta
University of Nis, Serbia
University of Osnabrück, Germany
University of Paderborn, Germany
University of Pannonia, Hungary
University of Perugia, Italy
University of Pennsylvania, USA
University of Potsdam, Germany
University of Roma, Italy
University of Siegen, Germany
University of Southhampton, United Kingdom
University of Stuttgart, Germany
University of Surrey, United Kingdom
University of Toronto, Canada
University of Trento, Italy
University of Twente, The Netherlands
University of Udine, Italy
University of Ulm, Germany
University of Wuppertal, Germany
VTT Technical Research Centre of Finland
Wuhan University, China
Yonsei University, Korea
Zhejiang University, China
An n ual
R ep ort
2013
81
Guest Scientists and Seminars
82
Annua l
Re p or t
2013
G a s t w iss e n sc h a f t l e r
u n d
S e mi n a r e
–
Gue s t
S ci e n t is t s
and
S e mi n a r s
Gastwissenschaftler / Guest Scientists
Gastwissenschaftler Guest Scientists
Institution Forschungsgebiet
InstitutionResearch Area
1. Mr. Al Hadi, Richard University of Wuppertal, Germany System Design
2. Mr. Ali, Umair University of Paderborn, GermanyCircuit Design
3. Prof. Capellini, GiovanniUniversity of Rome, ItalyMaterials Research
4. Mr. Cazzorla, Alessandro University of Perugia, Italy
Technology
5. Dr. Długosz, Rafał University of Technology and Life Sciences, Materials Research
Bydgoszcz, Poland
6. Mr. Eisermann, René Leibniz Institute for Astrophysics Potsdam (AIP),
Technology
Germany
7. Mr. Gajda, Andrzej Technical University of Berlin, Germany
Technology
8. Dr. Koczorowski, Wojciech Poznan University of Technology, PolandMaterials Research
9. Mr. KrishneGowda, Karthik Brandenburg University of Technology System Design
Cottbus-Senftenberg, Germany
10.Mr. Lopacinski, Lukasz Brandenburg University of Technology System Design
Cottbus-Senftenberg, Germany
11.Mr. Mahlig, Michael Lesswire, GermanySystem Design
12.Dr. Niu, Gang INL Lyon, FranceMaterials Research
13.Mrs. Opitz, StefanieBrandenburg University of TechnologySystem Design
Cottbus-Senftenberg, Germany
14.Mrs. Petousi, Despoina Technical University of Berlin, Germany
Technology
15.Mr. Sänn, Alexander Brandenburg University of Technology System Design
Cottbus-Senftenberg, Germany
16.Mr. Sark, Vladica Humboldt-Universität zu Berlin, GermanySystem Design
17.Mr. Savic, Nemanja German Aerospace Center (DLR) Berlin, GermanySystem Design
18.Dr. Schofield, Steven UCL London, UKMaterials Research
19.Mrs. Seweryniak, Katarzyna Poznan University of Technology, PolandMaterials Research
20.Mr. Sharath, Ulhas Technical University of Darmstadt, GermanyMaterials Research
21.Mr. Skoncej, Patryk Brandenburg University of Technology System Design
Cottbus-Senftenberg, Germany
22.Dr. Szyszka, Adam Wroclaw University of Technology, PolandMaterials Research
23.Dr. Tekin, Ibrahim Sabanci University, Turkey
Technology
24.Mr. Tzschoppe, Christoph Technical University of Dresden, Germany System Design
25.Mr. Ulbricht, Markus Brandenburg University of TechnologySystem Design
Cottbus-Senftenberg, Germany
26.Dr. Virgilio, Michele University of Pisa, ItaliaMaterials Research
27.Dr. Voigt, Karsten University of Surrey, United Kingdom
Technology
28.Dr. Winkler, Frank Humboldt-Universität zu Berlin, GermanySystem Design
29.Prof. Xie, Ya-Hong UCLA, USAMaterials Research
An n ual
R ep ort
2013
83
G a s t w iss e n sc h a f t l e r
u n d
S e mi n a r e
–
Gue s t
S ci e n t is t s
and
S e mi n a r s
Seminare / Seminars
Vortragender
Presenter
Institution
Thema
InstitutionTopic
1. Dr. Abe, Takao
Shin-Etsu Handotai Co., Ltd., Japan
2. Prof. Boucaud, Philippe Université Paris Sud / CNRS, France
3. Dr. Brehm, Moritz Leibniz Institute for Solid State and Materials Research Dresden (IFW), Germany
4. Dr. Cartoixà, Xavier Universitat Autònoma de Barcelona, Bellaterra, Spain
5. PhD Cornet, Charles
Université Européenne de Bretagne, INSA, FOTON-OHM, Rennes, France
6. PD Dr. Fritzsche, Wolfgang Leibniz Institute of Photonic Technology (IPHT), Jena, Germany
7. Prof. Genzel, Christoph Helmholtz-Centre Berlin for Materials and Energy (HZB), Germany
8. Dr. Grydlik, Martyna Leibniz Institute for Solid State and Materials Research Dresden (IFW), Germany
9. Prof. Freiberg University of Mining and Heitmann, Johannes Technology, Germany
84
Annua l
Re p or t
2013
Observations of Vacancies on Growth
interface and interstitials inside
crystal by detaching growing crystals
from silicon melt
Strain engineering for optical gain in
germanium
Hybrid and ordered SiGe
nanostructures
Electronic properties of oxygen
vacancies in HfO2 from first Principles
Pseudomorphic integration of III-V
semiconductors on silicon: recent
results at FOTON laboratory
Bioanalytics using single plasmonic
nanostructures
Advanced Thin Film Characterization
by X-Ray and Energy-Dispersive
Synchrotron Diffraction
Perfectly site-controlled Ge quantum
dots: Fabrication conditions and
optical properties
Synthesis and Characterization of
High-k Materials and embedded
Nanocrystals for Electronic and
Photonic Applications
G a s t w iss e n sc h a f t l e r
Vortragender
Presenter
u n d
S e mi n a r e
–
Gue s t
S ci e n t is t s
and
S e mi n a r s
Institution
Thema
InstitutionTopic
10.PD Dr. von Känel, Hans ETH Zurich, Switzerland
11.Prof. Kuźmicz, Wiesław Warsaw University of Technology, Poland
12.Prof. Neubauer, Peter Technical University of Berlin, Germany
13.Prof. Schenk, Harald Fraunhofer Institute for Photonic Microsystems Dresden (IPMS), Germany
14.Dr. Schofield, Steven R. Imperial College London , United Kingdom
15.Prof. Suñé, Jordi Universitat Autonoma de Barcelona, Bellaterra, Spain
16.Dr. Trampert, Achim Paul-Drude-Institute for Solid State Electronics, Berlin, Germany
17.Dr. Wang, Kevin University of Auckland, New Zealand
18.Prof. Yoshida, Yutaka
Shizuoka Institute of Science and Technology (SIST), Japan
Three-dimensional heteroepitaxy on
deeply patterned silicon substrates
Research and Teaching Activities of
the VLSI Engineering and Design
Automation Division
Biosensing challenges in modern
bioprocess development:
From high throughput to mobile
sensor solutions
Working with Light: Application
driven MEMS based spatial and
temporal modulation
Atomic and molecular scale structures
on silicon surfaces
Unipolar reset and atomic-size effects
in the conducting filament of RRAM
Transmission electron microscopy
study on instabilities in epitaxial
semiconductor heterostructures
Wireless sensor node design,
Customised IPv6 protocol for sensor
networks, Formal language for sensor
networks, Other aspects, such as
industrial automation and bioinstrumentation
Direct Observation of Carrier Trapping
Processes on Fe impurities in mc-Si
Solar Cells
An n ual
R ep ort
2013
85
Publications
86
Annua l
Re p or t
2013
ER S C H I ENENE
P u b l ik a t i o n e n
Erschienene Publikationen
Published Papers
(1) Metal-Organic Chemical Vapor Deposition
of High-k Dielectric Ce–Al–O Layers from
Various Metal-Organic Precursors for
Metal–Insulator–Metal Capacitor
Applications
A. Abrutis, M. Lukosius, M. Skapas, S. Stanionyte, V. Kubilius, Ch. Wenger, A. Zauner
Thin Solid Films 536, 68 (2013)
(2) A Terahertz Detector Array in a SiGe HBT
Technology
R. Al Hadi, J. Grzyb, B. Heinemann,
U.R. Pfeiffer
IEEE Journal of Solid State Circuits 48(9), 1
(2013)
(3) Performance Improvement of Silicon
Micro-Cavity Modulators by Iteration of
the p-i-n Intrinsic Region Width
A. Al-Saadi, B.A. Franke, S. Kupijai, C. Theiss,
H. Rhee, S. Mahdi, L. Zimmermann,
D. Stolarek, H.H. Richter, H.J. Eichler,
U. Woggon, S. Meister
Proc. 39th European Conference and
Exhibition on Optical Communication
(ECOC 2013), We.1.B.3 (2013)
(4) Dislocation Luminescence in Highly Doped
Degenerated Germanium at Room
Temperature
T. Arguirov, O. Vyvenko, M. Oehme, J. Schulze,
M. Kittler
Physica Status Solidi C 10, 56 (2013)
(5) Lead User bei der Entwicklung neuer
Produkte
D. Baier, A. Sänn
wisu – Das Wirtschaftsstudium 42(6), 799
(2013)
–
P u b l is h e d
PAPER S
(6) Antennas for Medical Implant Applications
Operating in the MICS Band
T. Basmer, N. Todtenberg, F. Popiela,
M. Birkholz, St. Ortmann
Proc. 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless
Technologies for Biomedical and Healthcare
Applications (IMWS-Bio 2013), (2013)
(7) Extending Wireless Body Sensor Networks
using Intelligent Implants
T. Basmer, M. Birkholz
Proc. 12. GI / ITG KuVS Fachgespräch
„Drahtlose Sensornetze“, 41 (2013)
(8) Resistive Influence of HfO2 Deposition
Technique on Resistive Switching in MIM
Devices
T. Bertaud, B. Hudec, M. Lukosius,
E. Hildebrandt, M. Sowinska, D. Walczyk,
St. Kubotsch, P. Calka, Ch. Walczyk, L. Alff,
A. Rosova, E. Dobrocka, J. Derer, J. Fedor,
A. Paskaleva, K. Fröhlich, T. Schoeder
Proc. 18th Conference Insulating Films on
Semiconductors (INFOS 2013), abstr. book,
226 (2013)
(9) Großflächige Abscheidung von Graphen –
ein wichtiger Schritt für neuartige
Bauelemente
H. Beyer, M. Hofmann, S. Trutz, H. Lux,
S. Stissel, W. Mehr, S. Schrader, P. Siemroth
Wissenschaftliche Beiträge der TH Wildau 154
(2013)
(10) Oxygen-Deficient Oxide Growth by
Subliming the Oxide Source Material:
The Cause of Silicide Formation in Rare
Earth Oxides on Silicon
O. Bierwagen, A. Proessdorf, M. Niehle,
F. Grosse, A. Trampert, M. Klingsporn
Crystal Growth & Design 13(8), 3645 (2013)
An n ual
R ep ort
2013
87
ER S C H I ENENE
P u b l ik a t i o n e n
P u b l is h e d
PAPER S
(11) Biostabilität und Energieversorgung eines
implantierbaren Glucosemonitors
M. Birkholz, T. Basmer, K.-E. Ehwald,
M. Fröhlich, D. Genschow, C. Reich
Proc. 8. Deutsches Biosensor Symposium
(DBS 2013), V10 (2013)
(16) Strained Germanium Heterostructures for
Infrared and THz Light Emission
G. Capellini
Proc. of the 8th International Conference on
Silicon Epitaxy and Heterostructures (ICSI-8),
13 (2013)
(12) Sensing Glucose Concentrations at GHz
Frequencies with a fully Embedded
Biomicro-Electromechanical System
(BioMEMS)
M. Birkholz, K.-E. Ehwald, T. Basmer,
C. Reich, P. Kulse, J. Drews, D. Genschow,
U. Haak, St. Marschmeyer, E. Matthus,
K. Schulz, D. Wolansky, W. Winkler,
T. Guschauski, R. Ehwald
Journal of Applied Physics 113, 244904
(2013)
(17) Continuous Wave Phase-Sensitive FourWave Mixing in Silicon Waveguides with
Reverse-Biased p-i-n Junctions
F. Da Ros, D. Vukovic, A. Gajda,
L. Zimmermann, K. Petermann, C. Peucheret
Proc. of the 39th European Conference and
Exhibition on Optical Communication
(ECOC 2013), (2013)
(13) Is Cross-Platform Protocol Stack Suitable
for Sensor Networks? Empirical Evaluation
M. Brzozowski, P. Langendörfer
Proc. 6th Joint IFIP Wireless & Mobile Networking Conference (WMNC 2013), (2013)
(14) Overview and Benchmarks of Pragmatic
Debugging Techniques for Wireless Sensor
Networks
M. Brzozowski, P. Langendörfer
Proc. of the 21st International Conference on
Software, Telecommunications and Computer
Networks (SoftCOM 2013), (2013)
(15) Strain Analysis in SiN / Ge Microstructures
obtained via Si-Complementary Metal Oxide
Semiconductor Compatible Approach
G. Capellini, G. Kozlowski, Y. Yamamoto,
M. Lisker, Ch. Wenger, G. Niu, P. Zaumseil,
B. Tillack, A. Ghrib, M. de Kersauson,
M. el Kurdi, P. Boucaud, T. Schroeder
Journal of Applied Physics 113, 013513
(2013)
88
–
Annua l
Re p or t
2013
(18) Effect of Back-Gate on Contact Resistance
and on Channel Conductance in Graphenebased Field-Effect Transistors
A. Di Bartolomeo, S. Santandrea, F. Giubileo,
F. Romeo, M. Petrosino, R. Cirto, P. Barbara,
G. Lupina, T. Schroeder, A. Rubino
Diamond & Related Materials 38, 19 (2013)
(19) Integrator and Digitizer for a Non-Coherent
IR-UWB Receiver
J. Digel, M. Masini, M. Grözing, M. Berroth,
G. Fischer, S. Olonbayar, H. Gustat,
J.C. Scheytt
Proc. IEEE Radio and Wireless Week
(RWW 2013), 93 (2013)
(20) Building Blocks for an X-Band SiGe BiCMOS
T / R Module
T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 201 (2013)
(21) Building Blocks for an X-Band SiGe BiCMOS
T / R Module
T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz
Proc. 2013 IEEE Radio and Wireless Week,
(RWW 2013), 301 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(22)Improving the Security of Wireless Sensor
Networks by Protecting the Sensor Nodes
against Side Channel Attacks
Z. Dyka, P. Langendörfer
Wireless Networks and Security Signals and
Communication Technology, Springer, 303
(2013)
(23) 60 GHz Broadband MAC System Design for
Cable Replacement in Machine Vision
Applications
M. Ehrig, M. Petri
AEU - International Journal of Electronics
and Communications 67, 1118 (2013)
(24) A 220-245 GHz Switched Beam Butler
Matrix in 0.13 μm SiGe BiCMOS Technology
M. Elkhouly, M. Yanfei, Ch. Meliani, F. Ellinger,
J.C. Scheytt
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), 119 (2013)
(25) A 240 GHz Direct Conversion IQ Receiver
in 0.13 µm SiGe BiCMOS Technology
M. Elkhouly, S. Glisic, Y. Mao, Ch. Meliani,
F. Ellinger, J.C. Scheytt
Proc. IEEE Radio Frequency Integrated
Circuits Symposium, (RFIC 2013), (2013)
(26) A 245 GHz ASK Modulator and
Demodulator with 40 GBit / sec Data Rate
in 0.13 µm SiGe BiCMOS Technology
M. Elkhouly, Y. Mao, Ch. Meliani, F. Ellinger,
J.C. Scheytt
Proc. International Microwave Symposium
(IMS 2013), (2013)
(27) 220-250 GHz Phased Array Circuits in
0.13 µm SiGe BiCMOS Technology
M. Elkhouly, S. Glisic, Ch. Meliani, F. Ellinger,
J.C. Scheytt
IEEE Transactions on Microwave Theory and
Techniques 61(8), 3115 (2013)
–
P u b l is h e d
PAPER S
(28) 49 GHz 6-bit Programmable Divider
in SiGe BiCMOS
A. Ergintav, Y. Sun, J.C. Scheytt, Y. Gurbuz
Proc. IEEE Radio and Wireless Week
(RWW 2013), 117 (2013)
(29) Spectral Peak Attenuation by GALS Design
on Digital Switching Current
X. Fan, O. Schrape, M. Marinkovic, P. Dähnert,
M. Krstic, E. Grass
Proc. 19th IEEE International Symposium on
Asynchronous Circuits and Systems, (2013)
(30) Cost-Efficient SOI Hybrid Coherent
Receiver
S. Fedderwitz, T. Brast, K. Voigt, G.B. Preve,
I. Lazarou, S. Dris, P. Bakopoulos,
H. Avramopoulos, L. Zimmermann,
A.G. Steffan
Proc. Asia Communications and Photonics
Conference (ACP-2013), AF3C.2 (2013)
(31) Electronic-Photonic Integration in the
Helios Project
J.M. Fedeli, F. Schrank, W. Bogaerts,
A. Masood, L. Zimmermann, E. Augendre,
S. Bernabe, J. Kraft, P. Grosse, T. Enot
Proc. of the 10th International Conference on
Group IV Photonics (GFP 2013), 146 (2013)
(32) Sub-Micron Photonics Switches: Design,
Fabrication and Characterization
H.N. Fernando, A. Stoll, R. Eisermann,
S.H.N. Tharanga, R. Haynes, L. Zimmermann,
M.M. Roth
Proc. 2013 CLEO Pacific Rim Conference,
WL4-7 (2013)
(33) High-Speed SiGe BiCMOS Technologies for
Applications beyond 100 GHz
G.G. Fischer, B. Heinemann, M. Kaynak,
H. Rücker
Proc. European Microwave Integrated Circuits
Conference (EuMIC 2013), 172 (2013)
An n ual
R ep ort
2013
89
ER S C H I ENENE
P u b l ik a t i o n e n
P u b l is h e d
PAPER S
(34) IR-UWB Single-Chip Transceiver for
High-Band Operation Compliant to
IEEE 802.15.4a
G. Fischer, D. Martynenko, O. Klymenko,
S. Olonbayar, D. Kreiser, J. Digel, M. Masini,
M. Grözing, R. Kraemer
Proc. 2013 IEEE International Conference on
Ultra-Wideband (ICUWB 2013), 276 (2013)
(40) Rad-Hard 2.5 Gbps SpaceFibre Interface
Device
R. Ginosar, T. Liran, D. Alon, R. Dobkin,
M. Gioldberg, G. Sokolov, G. Burdo, N. Blatt,
St. Parkes, P. Rastetter, M. Krstic,
A. Crescenzio
Proc. of the International Space System
Engineering Conference (DASIA 2013), (2013)
(35) Monolithic Integrated Circuits for UWB
Transceivers
G. Fischer, J.C. Scheytt
Ultra-Wideband RF System Engineering,
Cambridge University Press, 94 (2013)
(41) Miniaturized 122 GHz System-in-Package
(SiP) Short Range Radar Sensor
M.G. Girma, S. Beer, J. Hasch, W. Debski,
W. Winkler, Y. Sun, T. Zwick
Proc. European Microwave Week 2013, 49
(2013)
(36) Comparative Study of HBT Ageing in a
Complementary SiGe:C BiCMOS Technology
G.G. Fischer, J. Molina
Proc. 2013 Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), 167 (2013)
(37) Atomic Layer Deposition of Thin Oxide
Films for Resistive Switching
K. Fröhlich, P. Jancovic, B. Hudec, J. Dérer,
A. Paskaleva, T. Bertaud, T. Schroeder
ECS Transactions 58(10), 163, (2013)
(38) Moversight: An Approach to Support
Mobility in Collaborative Applications
J. Gäbler, H. König
Proc. of the IEEE IFIP Annual Conference on
Wireless on-Demand Network Systems and
Services (WONS 2013), 110 (2013)
(39) High Efficiency Wavelength Conversion
of 40 Gbps Signals at 1550 nm in SOI
Nano-Rib Waveguides Using p-i-n Diodes
A. Gajda, F. Da Ros, D. Vukovic,
L. Zimmermann, C. Peucheret, B. Tillack,
K. Petermann
Proc. of the 10th International Conference on
Group IV Photonics (GFP), 160 (2013)
90
–
Annua l
Re p or t
2013
(42) Integrated Dispersion Compensator Based
on Apodized SOI Bragg Gratings
I. Giuntoni, D. Stolarek, J. Bruns,
L. Zimmermann, B. Tillack, K. Petermann
IEEE Photonics Technology Letters 25(14),
1313 (2013)
(43) A High-Efficiency PA with 12.7 dBm P1dB
and 32% PAE for 60 GHz Beamforming
Applications in SiGe
S. Glisic, M. Elkhouly, Ch. Meliani
Proc. European Microwave Week 2013
(EuMW 2013), 153 (2013)
(44) Anwendung eines HF-Gasphasenätzprozesses zum Freilegen von monolithisch
integrierten RF-MEMS-Schaltern
A. Göritz, M. Fraschke, J. Drews, M. Wietstruck,
S. Tolunay, M. Kaynak, B. Tillack
Proc. Mikrosystemtechnik-Kongress 2013,
650 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(45) Characterization of Cobalt Germanide
Nanostructures on Ge(001) Substrate: an
STM Investigation on the Initial Phase of
Metal Contact Formation to Ge
T. Grzela, W. Koczorowski, G. Capellini, N. Curson, S.R. Schofield, R. Czajka, T. Schroeder
Proc. 9th Interregional Workshop on Advanced
Nanomaterials (IWAN 2013), abstr. book, 30
(2013)
(46) Cobalt Germanide Nanostructures on
Ge(001): A Combined STM, LEED, XPS and
TEM Study on the Initial Phase of Metal
Contact Formation to Ge
T. Grzela, W. Koczorowski, G. Capellini,
R. Czajka, N. Curson, S.R. Schofield,
T. Schroeder
Proc. JSPS Core-to-Core Program Workshop
„Atomically Controlled Processing for
Ultralarge Scale Integration“, abstr. book 15
(2013)
(47) Early Growth Studies of Cobalt Germanides
on Ge(001) Surface by Means of SPM
Technique
T. Grzela, W. Koczorowski, R. Czajka, N. Curson,
G. Capellini, T. Schroeder
Proc. Materials for Advanced Metallization
(MAM 2013), abstr. book, P7-10 (2013)
(48) CMOS Based Sensor for Dielectric
Spectroscopy of Biological Cell Suspension
S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger
Proc. XV. International Conference on
Electrical Bio-Impedance (ICEBI 2013), abstr.
(2013)
(49) CMOS Based Sensor for Dielectric
Spectroscopy of Biological Cell Suspension
S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger
Journal of Physics: Conference Series 434,
012017 (2013)
–
P u b l is h e d
PAPER S
(50) CMOS Lab on a Chip Device for Dielectric
Characterization of Cell Suspensions based
on a 6 GHz Oscillator
S. Guha, F.I. Jamal, K. Schmalz, Ch. Wenger,
Ch. Meliani
Proc. European Microwave Conference,
European Microwave Week 2013
(EuMW 2013), 471 (2013)
(51) Phase Noise Modelling for Integrated
PLLs in FMCW Radar
F. Herzel, A. Ergintav, Y. Sun
IEEE Transactions on Circuits and Systems II
60(3), 137 (2013)
(52) Spatial Manipulation of Nanoparticles and
Biomolecules by AC Electric Fields
R. Hoelzel, Ch. Wenger, X. Knigge, E. Laux,
U.Ch. Kaletta, K. Nicklas, F.F. Bier
Proc. Nanobio Europe (NBE 2013), abstr.
(2013)
(53) High-Efficiency Low-Voltage 24 GHz VCO in
130nm CMOS for FMCW Radar Applications
M. Hossain, U. Pursche, Ch. Meliani,
W. Heinrich
Proc. European Microwave Week 2013
(EuMW 2013), 105 (2013)
(54) Radiation Hard 0.13 Micron CMOS Library
at IHP
U. Jagdhold
Proc. Data Systems In Aerospace
(DASIA 2013), (2013)
(55) Timing Jitter of Optical Clock Distribution
Induced by Photodetection
K. Jamshidi, E. Krune, K. Voigt, K. Petermann,
L. Zimmermann
Proc. IEEE International Conference on
Optical Interconnects 2013, 84 (2013)
An n ual
R ep ort
2013
91
ER S C H I ENENE
P u b l ik a t i o n e n
(56) A 164 GHz Hetero-Integrated Source in
InP-on-BiCMOS Technology
T. Jensen, T. Al-Sawaf, M. Lisker, S. Glisic,
M. Elkhouly, T. Kraemer, I. Ostermay,
Ch. Meliani, B. Tillack, V. Krozer, W. Heinrich
Proc. European Microwave Week (EuMW
2013), 244 (2013)
(57) Monolithic Integrated SAW-Filter based on
AIN for High-Frequency Applications
U.Ch. Kaletta, P.V. Santos, D. Wolansky,
A. Scheit, M. Fraschke, Ch. Wipf, P. Zaumseil,
Ch. Wenger
Semiconductor Science and Technology
28(6), 065013 (2013)
(58) Nanoelectrodes for Biomedical Applications
U.Ch. Kaletta, Ch. Wenger, X. Knigge, R. Hölzel
Proc. Deutsches Biosensor Symposium
(DBS 2013), 141 (2013)
(59) Investigation of Oxide Thin Films
Deposited by Atomic Layer Deposition as
Dopant Source for Ultra-shallow Doping of
Silicon
B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte
Microelectronic Engineering 109, 113 (2013)
(60) Investigation of Oxide Thin Films
Deposited by Atomic Layer Deposition as
Dopant Source for Ultra-Shallow Doping of
Silicon
B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte
Proc. 18th Conference of Insulating Films on
Semiconductors (INFOS 2013), abstr. book, 40
(2013)
(61) A 4-Bit SiGe Passive Phase Shifter for
X-Band Phased Arrays
I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz
Proc. 2013 IEEE Radio and Wireless Week
(RWW 2013), 310 (2013)
92
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(62) A 4-Bit SiGe Passive Phase Shifter for
X-Band Phased Arrays
I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 210 (2013)
(63) Germanium tin: Silicon Photonics towards
Mid Infrared
E. Kasper, M. Kittler, M. Oehme, T. Arguirov
Photonics Research 1(2), 69 (2013)
(64) Light from Germanium Tin Heterostructures on Silicon
E. Kasper, M. Kittler, M. Oehme, T. Arguirov
Proc. Photonics West 2013, SPIE Proceedings,
8628, 8628OJ (2013)
(65) A CMOS Based Fast High-Voltage Generation
Circuit for BiCMOS Embedded RF-MEMS
Applications
M. Kaynak, M. Purdy, M. Wietstruck, W. Zhang,
B. Tillack
Proc. 13th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 21 (2013)
(66) A 94 GHz Flip-Chip Packaged SiGe BiCMOS
LNA on an LCP Substrate
W.T. Khan, C.A. Ulusoy, M. Kaynak,
H. Schumacher, J. Papapolymerou
Proc. International Microwave Symposium
(IMS 2013), (2013)
(67) Intrinsic Point Defect Generation, Oxygen
Precipitation, and Dislocation Propagation
During Flash Lamp Annealing
G. Kissinger, D. Kot, M.A. Schubert, A. Sattler
Proc. of the 27th International Conference on
Defects in Semiconductors (ICDS 27), abstr.
book, 132 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(68) Simulation of Vacancy Agglomeration
Based on Ab Initio Calculations and
Comparison with Experimental Results
G. Kissinger, J. Dabrowski, D. Kot
Proc. 2013 JSAP-MRS Joint Symposia,
Symposium H Smart Materials Design for
Ultimate Functional Materials: Functional
Core Concept, abstr. book 18p-M4-14 (2013)
(69) Enhanced DNS Message Compression –
Optimizing mDNS / DNS-SD for the Use in
6LoWPANs
R. Klauck, M. Kirsche
Proc. 9th IEEE International Workshop on
Sensor Networks and Systems for Pervasive
Computing, (2013)
(70) Characterization of Thin-Film
a-Si:H / μc-Si:H Tandem Solar Cells on
Glass Substrates
A. Klossek, Ch. Krause, T. Arguirov,
H.-M. Krause, W. Seifert, F. Friedrich,
O. Gabriel, B. Stannowski, M. Kittler
Crystal Research and Technology 48, 279
(2013)
(71) Growth Process of Microcrystalline Silicon
Studied by Combined 2 Photoluminescence
and Raman Investigations
A. Klossek, T. Arguirov, M. Ratzke,
D. Mankovics, S. Kirner, F. Friedrich, O. Gabriel,
B. Stannowski, R. Schlatmann, M. Kittler
Journal of Applied Physics 114, 223511
(2013)
–
P u b l is h e d
PAPER S
(73) BiCMOS Integration of Photonic Components
D. Knoll
Proc. Open Bipolar Workshop at BCTM 2013,
(2013)
(74) Silicon Photonics-Wireless Interface ICs for
Micro- / Millimeter-Wave Fiber-Wireless
Networks
M. Ko, M.-J. Lee, H. Rücker, W.-Y. Choi
Optics Express 21(19), 22962 (2013)
(75) Formation and Characterization of 1D and
2D Ba Surface Structures on the Ge (100)
Surface – an STM Study
W. Koczorowski, T. Grzela, G. Capellini,
N.J. Curson, S.R. Schofield, M.W. Radny,
R. Czajka, T. Schroeder
Proc. 6th Polish Conference on Nanotechnology, abstr. book, 53 (2013)
(76) STM Characterization of BA Induced
Passivation Layer on Ge(100) Surface
W. Koczorowski, T. Grzela, G. Capellini,
S.R. Schofield, T. Schroeder, M.W. Radny,
N.J. Curson, R. Czajka
Proc. 9th Interregional Workshop on Advanced
Nanomaterials (IWAN 2013), abstr. book 31
(2013)
(77) Energetic Spectra of Dislocation Networks
Produced by Hydrophilic Bonding of Silicon
Wafers
I. Kolevatov, M. Trushin, O. Vyvenko,
M. Kittler, O. Kononchuk
Physica Status Solidi C 10, 20 (2013)
(72) Dielektrophoretische Immobilisierung von
Nanopartikeln und Proteinen an Nanoelektroden
X. Knigge, E. Laux, K. Nicklas, U.Ch. Kaletta,
Ch. Wenger, R. Hölzel, F. Bier
Proc. Deutsches Biosensor Symposium
(DBS 2013), (2013)
An n ual
R ep ort
2013
93
ER S C H I ENENE
P u b l ik a t i o n e n
(78) First Monolithic GaAs IQ Electro-Optic
Modulator, Demonstrated at 150 Gbit / s
with 64-QAM
D. Korn, P.C. Schindler, Ch. Stamatiadis,
M.F. O‘Keefe, L. Stampoulidis, R. Schmogrow,
P. Zakynthinos, N. Cameron, Y. Zhou,
R.G. Walker, E. Kehayas, I. Tomkos,
L. Zimmermann, R. Palmer, W. Freude, C. Koos,
J. Leuthold
Proc. Optical Fiber Communication
Conference and Exposition / National Fiber
Optic Engineers Conference
(OFC / NFOEC 2013), PDP5C.4 (2013)
(79) Alignment of MG-63 Osteoblasts on
Fibronectin-Coated Phosphorous Doping
Lattices in Silicon
A. Körtge, S. Stählke, M. Birkholz, M. Fraschke,
K. Schulz, J.G.B. Nebe, P. Elter
World Academy of Science, Engineering and
Technology 73, 801 (2013)
(80) Characterization of Deep Levels
Introduced by RTA and by Subsequent
Anneals in n-Type Silicon
D. Kot, T. Mchedlidze, G. Kissinger,
W. von Ammon
ECS Journal of Solid State Science and
Technology 2(1), P9 (2013)
(81) Car-Router with Controlled Plug-In
Capabilities
R. Kraemer, R. Meyfarth, K. Walther
Proc. Embedded Systems Symposium, (2013)
(82) IHP Schaltungsprojekte: Übersicht über
die Schaltungsdesignaktivitäten mit Fokus
auf Raumfahrtanwendungen
R. Kraemer
Proc. DLR Bauteilekonferenz (2013)
94
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(83) InP-DHBT-on-BiCMOS Technology with fT / fmax of 400 / 350 GHz for Heterogeneous Integrated Millimeter-Wave
Sources
T. Kraemer, I. Ostermay, T. Jensen,
T. Keinicke Johansen, F.-J. Schmueckle,
A. Thies, V. Krozer, W. Heinrich, O. Krueger,
G. Traenkle, M. Lisker, A. Trusch, P. Kulse,
B. Tillack
IEEE Transactions on Electron Devices 60(7),
2209 (2013)
(84) On the Origin of Intense Luminescence at
0.93 eV from Multi-Crystalline Silicon
Ch. Krause, D. Mankovics, H.-M. Krause,
T. Arguirov, M. Kittler
Journal of Applied Physics 114, 034902
(2013)
(85) Improvements of IEEE 802.15.4a for
Usage in Automation Systems
D. Kreiser, S. Olonbayar
Proc. 4th International Conference on Energy
Aware Computing Systems & Applications,
(2013)
(86) Photonic-Electronic Platform for Next
Generation Optical Transport Network
M. Kroh, M. O‘Keefe, K. Voigt, S. Fedderwitz,
G. Battista Preve, St. Lischke, T. Brast,
D. Petousi, Ch. Stamatiadis, E. Kehayas,
R. Nogueira, D. Korn, D. Roccato,
Ph.C. Schindler, I. Lazarou, Ch. Koos,
W. Freude, J. Leuthold, H. Avramopoulos,
A.G. Steffan, L. Stampoulidis, L. Zimmermann
Proc. 15th International Conference on
Transparent Optical Networks (ICTON 2013),
We.C2.1 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
–
P u b l is h e d
PAPER S
(87) InP on BiCMOS Technology Platform for
Millimeter-Wave and THz MMIC
V. Krozer, T. Jensen, T. Krämer, I. Ostermay,
F.J. Schmückle, O. Krüger, W. Heinrich,
M. Lisker, B. Tillack, Ch. Meliani
Proc. Millimeter Waves and THz Technology
Workshop (UCMMT 2013), (2013)
(92) Dielectrophoretic Immobilization of
Nanoparticles and Proteins on
Nanoelectrodes
E.-M. Laux, X. Knigge, U.Ch. Kaletta, F. Bier,
Ch. Wenger, R. Hölzel
Proc. Nanobio Europe (NBE 2013), abstr.
(2013)
(88) Guest Editor’s Introduction: Special Issue
on Signal Processing and Electronic Circuit
Design for Next Generation Communication
Systems
M. Krstic
AEU – International Journal of Electronics
and Communications 67(12), 1086 (2013)
(93) Deposition of Graphene on Insulators and
Conversion of Atomic Carbon into Graphene
by Van Der Waals Epitaxy
G. Lippert, J. Dabrowski, Y. Yamamoto,
F. Herziger, J. Maultzsch, J. Baringhaus,
C. Tegenkamp, M.C. Lemme, W. Mehr,
G. Lupina
Proc. of the 17th European Molecular Beam
Epitaxy Workshop (Euro MBE 2013), book of
abstr., 134 (2013)
(89) A Fully Integrated 120 GHz Six-Port
Receiver Front-End in a 130 nm SiGe
BiCMOS Technology
B. Lämmle, K. Schmalz, J. Borngräber,
J.C. Scheytt, R. Weigel, A. Koelpin,
D. Kissinger
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 129 (2013)
(90) A 125-GHz Permittivity Sensor with
Read-Out Circuit in a 250 nm SiGe BiCMOS
Technology
B. Lämmle, K. Schmalz, J.C. Scheytt, R. Weigel,
D. Kissinger
IEEE Transactions on Microwave Theory and
Techniques 61(5), 2185 (2013)
(91) Selbstorganisierende drahtlose Vernetzung
in Photovoltaik-Kraftwerken
St. Lange
Proc. 12. GI / ITG KuVS Fachgespräch
Sensornetze, 9 (2013)
(94) Molecular Beam Growth of Micrometer-Size
Graphene on Mica
G. Lippert, J. Dabrowski, Y. Yamamoto,
F. Herziger, J. Maultzsch, M.C. Lemme,
W. Mehr, G. Lupina
Carbon 52, 40 (2013)
(95) High-Efficiency Grating Couplers for
Integration into a High-Performance
Photonic BiCMOS Process
St. Lischke, B. Wohlfeil, D. Knoll,
L. Zimmermann, C. Mai, Y. Yamamoto,
St. Marschmeyer, K. Voigt, B. Tillack
Proc. Asia Communications and Photonics
Conference (ACP-2013), (2013)
(96) InP-Si BiCMOS Heterointegration Using a
Substrate Transfer Process
M. Lisker, A. Trusch, M. Fraschke, P. Kulse,
Y. Borokhovych, B. Tillack, I. Ostermay,
T. Krämer, F.-J. Schmückle, O. Krüger,
V. Krozer, W. Heinrich
ECS Transactions 53(3), 245 (2013)
An n ual
R ep ort
2013
95
ER S C H I ENENE
P u b l ik a t i o n e n
P u b l is h e d
PAPER S
(97) Properties of Stacked SrTiO3 / Al2O3
Metal-Insulator-Metal Capacitors
M. Lukosius, Ch. Wenger, T. Blomberg, G. Ruhl
Journal of Vacuum Science and Technology B
31(1), 01A102 (2013)
(103) 245 GHz Subharmonic Receiver in SiGe
Y. Mao, K. Schmalz, J. Borngräber,
J.C. Scheytt, Ch. Meliani
Proc. International Microwave Symposium
(IMS 2013), (2013)
(98) Deposition of Thin Silicon Layers on
Transferred Large Area CVD Graphene
G. Lupina, J. Kitzmann, M. Lukosius,
J. Dabrowski, A. Wolff, W. Mehr
Applied Physics Letters 103, 263101 (2013)
(104) An Early Termination Strategy for Irregular
LDPC Codes with Layered Decoding –
Performance Evaluation and
Implementation
M. Marinkovic, E. Grass, M. Krstic
Proc. of the 10th International Symposium on
Wireless Communication Systems
(ISWCS 2013), 502 (2013)
(99) Nucleation and Growth of HfO2 Layers on
Graphene by Chemical Vapor Deposition
G. Lupina, M. Lukosius, J. Kitzmann,
J. Dabrowski, A. Wolff, W. Mehr
Applied Physics Letters 103(18), 183116
(2013)
(100) C MOS basierte Mikrosystemplattformen –
Integrierte Hochfrequenz-, MEMS- und
Photonic-Module
A. Mai, B. Tillack, L. Zimmermann,
B. Heinemann, R. Sorge, M. Kaynak,
St. Lischke, W. Mehr
Proc. MikroSystemTechnik Kongress 2013, 264
(2013)
(101) Reconfigurable RF-Circuits and RF-MEMS
R. Malmqvist, A. Ouacha, M. Kaynak, N. Ahsan,
J. Oberhammer
Microwave and Millimeter Wave Circuits and
Systems Eds: A Georgiadis, H. Rogier,
L. Roselli, P. Arcioni, Wiley, Chapter 12, 325
(2013)
(102) 245 GHz Subharmonic Receiver in SiGe
Y. Mao, K. Schmalz, J. Borngräber,
J.C. Scheytt, Ch. Meliani
Proc. IEEE Radio Frequency Integrated
Circuits Symposium (RFIC 2013), (2013)
96
–
Annua l
Re p or t
2013
(105) An Impulse Radio UWB Transmitter for
Communication and Precise Localization
D. Martynenko, G. Fischer, O. Klymenko
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 69 (2013)
(106) High-Band Ultra-Wideband Transmitter for
IEEE 802.15.4a Standard
D. Martynenko, G. Fischer, O. Klymenko,
D. Kreiser, S. Olonbayar
Proc. 2013 IEEE International Conference on
Ultra-Wideband (ICUWB 2013), (2013)
(107) Comparing Java Virtual Machines for Sensor
Nodes – First Glance: Takatuka and
Darjeeling
O. Maye, M. Maaser
Proc. of the 8th International Conference on
Grid and Pervasive Computing (GPC 2013)
(2013)
(108) Java for Smart Systems – A Field Report
O. Maye, M. Maaser
Proc. of the 12th International Conference on
Electronics, Information and Communication
(ICEIC 2013), 270 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(109) Residual Stress and Switching Transient
Studies for BiCMOS Embedded RF-MEMS
Switch Using Advanced Electro-Mechanical
Models
A. Mehdaoui, S. Rouvillois, G. Schröpfer,
G. Lorenz, M. Kaynak, M. Wietstruck
Proc. MEMSWAVE 2013, (2013)
(110) Innovations for High Performance
Microelectronics Leibniz-Institut für
innovative Mikroelektronik
W. Mehr
Proc. DLR Bauteilekonferenz (2013)
(111) Matching P-I-N-Junctions and Optical
Modes Enables Fast and Ultra-Small Silicon
Modulators
S. Meister, H. Rhee, A. Al-Saadi,
B.A. Franke, S. Kupijai, C. Theiss,
L. Zimmermann, B. Tillack, H.H. Richter,
H. Tian, D. Stolarek, T. Schneider, U. Woggon,
H.J. Eichler
Optics Express 21(13), 16210 (2013)
–
P u b l is h e d
PAPER S
(114) 80 GB / s Decision Feedback Equalizer for
Intersymbol Interference Limited Channels
L. Moeller, A. Awny, J. Junio, C. Bolle,
J.C. Scheytt, A. Thiede
Proc. of the Optical Fiber Communication
Conference and Exposition and the
National Fiber Optic Engineers Conference
(OFC / NFOEC 2013), (2013)
(115) Atomically Controlled CVD Processing of
Group IV Semiconductors for Strain
Engineering and Doping in Ultralarge
Scale Integration
J. Murota, M. Sakuraba, B. Tillack
ECS Transactions 54(1), 55 (2013)
(116) Atomically Controlled CVD Technology of
Group IV Semiconductors for Ultralarge
Scale Integration
J. Murota, M. Sakuraba, B. Tillack
Proc. IEEE International Conference on SolidState and Integrated Circuit Technology
(IEEE ICSICT 2012), 293 (2013)
(112) Demo Abstract: SelfOrganized Bluetooth
Scatternets for Wireless Sensor Networks
M. Methfessel, St. Lange, R. Kraemer,
M. Zessack, St. Peter
Proc. 11th ACM Conference on Embedded
Networked Sensor Systems (SenSys 2013),
(2013)
(117) Surface Reaction Controlled Chemical
Processing of Group IV Semiconductors for
Advanced Electronics Technologies
J. Murota, M. Sakuraba, B. Tillack
Proc. Symposium of the 7th Sino-US
Chemical Engineering Conference,
abstr. book, 70 (2013)
(113) An Approach to MEMS Smart Sensor Design
using CMOS BEOL
P. Michalik, D. Fernández, J. Madrenas,
M. Kaynak, M. Wietstruck
Proc. MEMSWAVE 2013, (2013)
(118) Virtual GaN Substrates via Sc2O3 / Y2O3
Buffers on Si(111): TEM Characterization
of Growth Defects
T. Niermann, D. Zengler, L. Tarnawska,
P. Storck, T. Schroeder, M. Lehmann
Journal of Applied Physics 113(22), 223501
(2013)
An n ual
R ep ort
2013
97
ER S C H I ENENE
P u b l ik a t i o n e n
(119) Evidence for the Origin of 110 Growth
Orientation of Cubic Rare Earth Oxide Films
on Si (001): Epitaxial Lattice-Matched
110-Oriented Pr0.9Y1.1O3 film on Si (001)
G. Niu, P. Zaumseil, M.A. Schubert,
M.H. Zoellner, J. Dabrowski, T. Schroeder
Proc. 18th Conference Insulating Films on
Semiconductors (INFOS 2013), abstr. book, 46
(2013)
(120) Lattice-Matched Single Crystalline
Ternary PrxY2-xO3 Films on SrO-Passivated
Si (001): Interface Engineering and
Crystallography Tailoring
G. Niu, P. Zaumseil, M.A. Schubert,
M.H. Zoellner, J. Dabrowski, T. Schroeder
Applied Physics Letters 102, 011906 (2013)
(121) Oxygen Vacancy Engineering by Pr3+
Doping of Single Crystalline CeO2 Films on
Silicon for the Integration of
Ferromagnetic Films on Si
G. Niu, M.H. Zoellner, P. Zaumseil, M.A. Schubert, T. Schroeder
Proc. Workshop of Functional Oxides for
Integration in Micro- and Nano-Electronics,
94 (2013)
(122) X-Ray Diffraction and Extended X-Ray
Absorption Fine Structure Study of
Epitaxial Mixed Ternary Bixbyite PrxY2-xO3
(x=0-2) Films on Si(111)
G. Niu, M.H. Zoellner, P. Zaumseil,
A. Pouliopoulos, F. d‘Acapito, T. Schroeder,
F. Boscherini
Journal of Applied Physics 113, 043504
(2013)
(123) Electrical Characterisation and Predictive
Simulation of Defects Induced by keV
Si+ Implantation in n-type Si
C. Nyamhere, F. Cristiano, F. Olivie, Z. Essa,
E. Bedel-Pereira, D. Bolze, Y. Yamamoto
Journal of Applied Physics 113, 184508
(2013)
98
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(124) Surface Properties of Thick Ceria Films
Grown on Si(111)
R. Olbrich, H.H. Pieper, R. Oelke,
J. Wollschläger, M.H. Zoellner, T. Schroeder,
M. Reichling
Proc. COST Meeting, (2013)
(125) Performance and Implementation of a
Multi-Rate IR-UWB Baseband Transceiver
for IEEE802.15.4a
S. Olonbayar, D. Kreiser, R. Kraemer
Proc. IEEE International Conference on UltraWideband (ICUWB 2013), 237 (2013)
(126) Small-angle Subgrain Boundaries
Emanating from Dislocation Pile-Ups in
Multicrystalline Silicon Studied with
Synchrotron White Beam X-Ray Topography
D. Oriwol, E.-R. Carl, A.N. Danilevsky, L. Sylla,
W. Seifert, M. Kittler, H.S. Leipner
Acta Materialia 61, 6903 (2013)
(127) Telemedizinische Schlaganfallrehabilitation in den eigenen 4 Wänden
St. Ortmann, J. Schäffner
Proc. 6. Deutscher AAL-Kongress, 93 (2013)
(128) Analysis and Minimization of Substrate
Spurs in Fractional-N Frequency
Synthesizers
S.A. Osmany, F. Herzel, J.C. Scheytt
Analog Integrated Circuits and Signal
Processing 74, 545 (2013)
(129) 200 GHz Interconnects for InP-on-BiCMOS
Integration
I. Ostermay, T. Krämer, F.-J. Schmückle,
O. Krüger, V. Krozer, W. Heinrich, M. Lisker,
A. Trusch, E. Matthus, Y. Borokhovych,
B. Tillack
Proc. International Microwave Symposium
(IMS 2013), (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(130) W Band 2 Bit MEMS Based Digital Phase
Shifter
E. Öztürk, M. Kaynak, I. Tekin
Proc. MEMSWAVE 2013, (2013)
(131) TNODE: A Low Power Sensor Node Processor
for Secure Wireless Networks
G. Panic, O. Schrape, T. Basmer, F. Vater,
K. Tittelbach-Helmrich
Proc. The International Symposium on
System-on-Chip 2013 (SoC 2013), (2013)
(132) A Radiation Tolerant SpaceFibre Interface
Device
St. Parkes, A. Ferrer, A. Gonzalez,
C. McClements, R. Ginosar, T. Liran, G. Sokolov,
N. Blatt, P. Rastetter, M. Krstic, A. Crescenzio
Proc. International Spacewire Conference
2013, (2013)
(133) A High Voltage Swing Dual-Band Bandpass
ΔΣ Modulator for Mobile Base-Station
B.H. Park, S. Jang, P. Ostrovskyy, J. Jung
IEEE Microwave and Wireless Components
Letters 23, 199 (2013)
(134) Geometry Scalable Model Parameter
Extraction for mm-Wave SiGeHeterojunction Transistors
A. Pawlak, M. Schroter, A. Fox
Proc. IEEE Bipolar / BiCMOS Circuits and Technology Meeting (BCTM 2013), 127 (2013)
(135) Comparison of InP and Silicon MachZehnder Modulators in Terms of Chirp
D. Petousi, L. Zimmermann, K. Voigt, J. Kreissl,
K. Petermann
Proc. 39th European Conference &
Exhibition on Optical Communication (ECOC
2013), (2013)
–
P u b l is h e d
PAPER S
(136) Performance Limits of Depletion-Type
Silicon Mach-Zehnder Modulators for
Telecom Applications
D. Petousi, L. Zimmermann, K. Voigt,
K. Petermann
IEEE Journal of Lightwave Technology 31(22),
3556 (2013)
(137) Fault-Tolerant Reconfigurable Low-Power
Pseudorandom Number Generator
V. Petrovic, Z. Stamenkovic, M. Stojcev,
T. Nikolic, G. Jovanovic
Proc. 16th IEEE International Symposium on
Design and Diagnostics of Electronic Circuits
and Systems, 279 (2013)
(138) Integrated Single Event Latchup Protection
for ASICs used in Space Applications
V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic
Proc. 21st Telecommunications Forum
(TELFOR 2013), 624 (2013)
(139) Redundant Circuits with Latchup Protection
V. Petrovic, G. Schoof, Z. Stamenkovic
Proc. 20th IEEE International Conference on
Electronics, Circuits, and Systems
(ICECS 2013), 117 (2013)
(140) Sens4U: A Modular Approach towards the
Ideal Sensor Node Software and Hardware
K. Piotrowski, J. Lösche
Proc. 12. GI / ITG KuVS Fachgespräch
„Drahtlose Sensornetze“, 33 (2013)
(141) Sens4U: Wireless Sensor Network
Applications for Environment Monitoring
Made Easy
K. Piotrowski, St. Peter
Proc. 4th International Workshop on Software
Engineering for Sensor Network Applications,
(SESENA 2013), (2013)
An n ual
R ep ort
2013
99
ER S C H I ENENE
P u b l ik a t i o n e n
(142) Silicon Photonic Implementation of a
Scalable O-OFDM Demultiplexer
A. Rahim, S. Schwarz, J. Bruns, K. Voigt,
L. Zimmermann, C.G. Schäffer, K. Petermann
IEEE Photonics Technology Letters 25(20),
1977 (2013)
(143) High Speed Silicon Modulators for
Integrated Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
Proc. International Conference on Solid State
Devices and Materials (SSDM 2013), K-3-1,
(2013)
(144) Options for Silicon Based Modulators
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L.O.’Faolain
Proc. Frontiers in Optics 2013, FM3E.1, (2013)
(145) Silicon Optical Modulators for Integrated
Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T. F. Krauss, L. O’Faolain
Proc. CLEO 2013, CTu2F.1 (2013)
–
P u b l is h e d
PAPER S
(146) Silicon Optical Modulators for Integrated
Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
Proc. Photonics North, abstr. 164 (2013)
(147) Silicon Optical Modulators for Short Reach
Interconnect
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, J.-M. Fedeli, K. Debnath,
T.F. Krauss, L. O’Faolain
Proc. ISPSA-XVI, D1-I-01, (2013)
(148) Trap-Assisted Tunneling on Extended
Defects in Tunnel Field-Effect Transistors
M. Reiche, M. Kittler, H. Uebensee
Proc. 2013 Solid State Devices and Materials
(SSDM), ext. abstr. 80 (2013)
(149) Carrier Transport on Dislocations
M. Reiche, M. Kittler, M. Krause, H. Uebensee
Proc. 27th International Conference on
Defects in Semiconductors 2013 (ICDS 27),
book of abstr., 324 (2013)
(150) Dislocations as Native Nanostructures –
Electronic Properties
M. Reiche, M. Kittler
Proc. ANBRE 2013, 512 (2013)
(151) Electrons on Dislocations
M. Reiche, M. Kittler, M. Krause, H. Uebensee
Physica Status Solidi C 10(1), 40 (2013)
100
Annua l
Re p or t
2013
ER S C H I ENENE
P u b l ik a t i o n e n
–
P u b l is h e d
PAPER S
(152) Trap-Assisted Carrier Transport in
Nanostructures
M. Reiche, M. Kittler, H. Uebensee
Proc. of the 13th IEEE International
Conference on Nanotechnology
(IEEE Nano 2013), 1164 (2013)
(158) Subharmonic 245 GHz SiGe Receiver with
Antenna
K. Schmalz, J. Borngräber, R. Wang,
Ch. Meliani, W. Debski, W. Winkler
Proc. European Microwave Week
(EuMW 2013), 121 (2013)
(153) Lead User Intelligence for Complex Product
Development – the Case of Industrial
IT-Security Solutions
A. Sänn, J. Krimmling, D. Baier, N. Ming
International Journal of Technology
Intelligence and Planning 9(3), 232 (2013)
(159) 245 GHz SiGe Transmitter with Integrated
Antenna and External PLL
K. Schmalz, R. Wang, J. Borngräber, W. Debski,
W. Winkler, Ch. Meliani
Proc. International Microwave Symposium
(IMS 2013), (2013)
(154) Modified Equivalent Time Sampling for
Improving Precision of Time-of-Flight
Based Localization
V. Sark, E. Grass
Proc. IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2013), 365 (2013)
(160) A 12Gb / s Standard Cell Based ECL 4:1
Serializer with Asynchronous Parallel
Interface
O. Schrape, M. Appel, F. Winkler, M. Krstic
Proc. 2013 IEEE International Conference on
Electronics, Circuits, and Systems
(ICECS 2013), 1 (2013)
(155) A 135-170 GHz Power Amplifier in an
Advanced SiGe HBT Technology
N. Sarmah, B. Heinemann, U. Pfeiffer
Proc. IEEE Symposium on Radio Frequency
Integrated Circuits (RFIC), 287 (2013)
(161) A Simple and Flexible 64 / 32-bit RISC Core
for Embedded Multiprocessors
A. Simevski
Proc. 11th International Conference on
Electronics, Telecommunications, Automation
and Informatics (ETAI 2013), (2013)
(156) Towards mm-Wave System-on-Chip with
Integrated Antennas for Low-Cost 122 and
245 GHz Radar Sensors
J.C. Scheytt, Y. Sun, K. Schmalz, Y. Mao,
R. Wang, W. Debski, W. Winkler
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 246 (2013)
(157) A 120 GHz Dielectric Sensor in SiGe
K. Schmalz, J. Borngräber, M. Kaynak,
W. Winkler, J. Wessel, M. Neshat,
S. Safavi-Naeini
IEEE Microwave and Wireless Components
Letters 23(1), 46 (2013)
(162) Dependability Improvement in
Multiprocessor Systems by Dynamic
Adaptation to Application Requirements
A. Simevski
Proc. Biannual European – Latin American
Summer School on Design, Test and Reliability
(BELAS 2013), abstr. (2013)
(163) Register-Transfer Level NMR System
Generator
A. Simevski, R. Kraemer, M. Krstic
Proc. Zuverlässigkeit und Entwurf (ZuE 2013),
103 (2013)
An n ual
R ep ort
2013
101
ER S C H I ENENE
P u b l ik a t i o n e n
(164) Automated Integration of Fault Injection
into the ASIC Design Flow
A. Simevski, R. Kraemer, M. Krstic
Proc. 16th IEEE Symp. Defect and Fault
Tolerance in VLSI and Nanotechnology
Systems (DFT 2013), 255 (2013)
(165) Software Implementation of Programmable
NMR Voters
A. Simevski, E. Hadzieva
Proc. 11th International Conference on
Electronics, Telecommunications, Automation
and Informatics (ETAI 2013), (2013)
(166) Solid-Phase Epitaxy and III-V / SiGe Hybrid
Approaches for High Performance SiGe
HBTs: A Material Science Study
O. Skibitzki, F. Hatami, Y. Yamamoto,
P. Zaumseil, A. Trampert, M.A. Schubert,
T. Schroeder, W.T. Masselink, B. Tillack
Proc. JSPS Core-to-Core Program Workshop
“Atomically Controlled Processing for Ultralarge Scale Integration”, abstr. book, 5 (2013)
(167) Fault Injection Framework for Embedded
Memories
P. Skoncej
Proc. 16th IEEE Symp. Defect and Fault
Tolerance in VLSI and Nanotechnology
Systems (DFT 2013), 77 (2013)
(168) Utilizing Wake-up Receiver in Bird
Telemetry – Viability Study
N. Sobajic, J. Teran, R. Kraemer,
M. Krstic
Proc. International Conference on Telecommunications in Modern Satellite, Cable and
Broadcasting Services – (TELSIKS 2013),
530 (2013)
102
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(169) Impact of the Current Compliance
and Voltage Range on Resistive Switching
of Ti / HfO2 / TiN Structures by HAXPES
M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,
E. Hildebrandt, L. Alff, Ch. Walczyk,
T. Schroeder
Proc. Materials for Advanced Metallization
(MAM 2013), abstr. book, AM2 (2013)
(170) Design Flow and Techniques for Fault
Tolerant ASICs
Z. Stamenkovic, V. Petrovic, G. Schoof
Proc. of the 20th IEEE International
Symposium on the Physical and Failure
Analysis of Integrated Circuits, 97 (2013)
(171) Fault-Tolerant Asic: Design and
Implementation
Z. Stamenkovic, V. Petrovic, G. Schoof
Facta Universitatis, Series: Electronics and
Energetics 25(1), 1 (2013)
(172) Cost-effective Broadband GaAs IQ
Modulator Array for Long-Reach
OFDM-PONs
L. Stampoulidis, E. Giacoumidis, M.F. O’Keefe,
I. Aldaya, R.G. Walker, Y. Zhou, N. Cameron,
E. Kehayas, A. Tsokanos, I. Tomkos, N.J. Doran,
L. Zimmermann
Proc. 39th European Conference and
Exhibition on Optical Communication
(ECOC 2013), We.1.F.4 (2013)
(173) Fabrication of the First High-speed GaAs IQ
Electro-optic Modulators Arrays and
Applicability Study for Low-Cost Tb / s
Direct-Detection Optical OFDM Networks
L. Stampoulidis, M.F. O‘Keefe, E. Giacoumidis,
R.G. Walker, Y. Zhou, N. Camerin, E. Kehayas,
I. Tomkos, L. Zimmermann
Proc. Optical Fiber Communication
Conference and Exposition / National Fiber
Optic Engineers Conference
(OFC / NFOEC 2013), (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(174) A Fair Trade Multi-Hop Routing in Wireless
Sensor Networks
O. Stecklina, P. Langendörfer, Ch. Goltz
Proc. 6th Joint IFIP Wireless & Mobile
Networking Conference (WMNC 2013), (2013)
(175) A Lifetime Forecast Scheme for a
Distributed Low Duty Cycle Multi-Hop
Routing in Wireless Sensor Networks
O. Stecklina, P. Langendörfer, Ch. Goltz
International Journal of Business Data
Communications and Networking 9(4),
1 (2013)
(176) Design of a Tailor-Made Memory Protection
Unit for Low Power Microcontrollers
O. Stecklina, P. Langendörfer, H. Menzel
Proc. 8th IEEE International Symposium on
Industrial Embedded Systems, (2013)
(177) Erweiterte Sicherheit für Kritische
Infrastrukturen (ESCI)
O. Stecklina
Proc. Innovationsforum „ISI4people“, (2013)
(178) The Crux of OMNeT++ on Development for
a Specific Wireless Sensor Node Platform,
A Progress Report
O. Stecklina, A. Krumholz
Proc. 12. GI / ITG KuVS Fachgespräche
„Sensornetze“ (FGSN), 21 (2013)
(179) A Low-Cost Miniature 120 GHz SiP
FMCW / CW Radar Sensor with Software
Linearization
Y. Sun, M. Marinkovic, G. Fischer, W. Winkler,
W. Debski, S. Beer, T. Zwick, J.C. Scheytt
Proc. International Solid-State Circuits
Conference (ISSCC 2013), 148 (2013)
–
P u b l is h e d
PAPER S
(180) Gallium Nitride MSM UV Detector
Structures on Silicon Substrates Integrated
via Oxides Buffer Layers
A. Szyszka, L. Tarnawska, M.A. Schubert,
G. Lupina, M. Mazur, T. Schroeder
Proc. 37th Workshop on Compound Semiconductor Devices and Integrated Circuits
(WOCSDICE 2013), 131 (2013)
(181) M-S and M-O-S Contacts to N-Polar GaN on
Silicon (111) for UV Photodetector
Application
A. Szyszka, L. Lupina, G. Lupina, K. Malecha,
T. Schroeder
Proc. 2013 International Semiconductor Conference Dresden – Grenoble (ISCDG), (2013)
(182) Interface Science of Virtual GaN Substrates
on Si(111) via Sc2O3 / Y2O3 Buffers:
Experimental and Theory
L. Tarnawska, J. Dabrowski, T. Grzela,
T. Niermann, R. Paszkiewicz, P. Storck,
T. Schroeder
Journal of Applied Physics 113(21), 213507
(2013)
(183) Interface Science on the MBE Grown GaN on
Sc2O3 / Y2O3 / Si(111) Templates
L. Tarnawska, J. Dabrowski, P. Storck,
T. Schroeder
Proc. 17th European Molecular Beam Epitaxy
Workshop, 265 (2013)
(184) 2D Simulations of the Grain Boundary Light
Beam Induced (GB-LBIC) Technique on
Polycrystalline Silicon Thin Films
A.-M. Teodoreanu, F. Friedrich, L. Korte,
R. Leihkauf, M. Kittler, B. Rech, Ch. Boit
Proc. 28th European Photovoltaic Solar Energy
Conference (28th EU PVSEC), (2013)
An n ual
R ep ort
2013
103
ER S C H I ENENE
P u b l ik a t i o n e n
(185) Status Evaluierung von IHP SiGe-BiCMOS
Technologien für Raumfahrtanwendungen
F. Teply
Proc. DLR Bauteilekonferenz, (2013)
(186) High Performance Mach–Zehnder-Based
Silicon Optical Modulators
D.J. Thomson, F.Y. Gardes, S. Liu, H. Porte,
L. Zimmermann, J.-M. Fedeli, Y. Hu,
M. Nedeljkovic, X. Yang, P. Petropoulos,
G.Z. Mashanovich
IEEE Journal of Selected Topics in Quantum
Electronics 19(6), 3400510, (2013)
(187) Integration of High Performance Silicon
Optical Modulators
D.J. Thomson, F.Y. Gardes, Y. Hu,
G. Mashanovich, G.T. Reed, L. Zimmermann,
D. Knoll, St. Lischke, H. Porte, B. Goll,
H. Zimmermann, L. Ken, P. Wilson, S-W. Chen,
S.H. Hsu, G.-H. Duan, A. Le Liepvre, C. Jany,
A. Accard, M. Lamponi, D. Make, F. Lelarge,
S. Messaoudene, D. Bordel, J.-M. Fedeli,
S. Keyvaninia, G. Roelkens, D. Van Thourhout
Proc. of the 10th International Conference on
Group IV Photonics (GFP 2013), 59 (2013)
(188) MEMS and Photonics Module Integration
into SiGe BiCMOS Technologies for MORE
THAN MOORE Functional Diversification
B. Tillack, B. Heinemann, M. Kaynak, D. Knoll,
A. Mai, M. Lisker, H. Rücker, Y. Yamamoto,
L. Zimmermann
ECS Transactions 58(9), 115 (2013)
(189) SiGe BiCMOS Technology for More than
Moore Functional Diversification for
Opto- and Microelectronic Application
B. Tillack, B. Heinemann, M. Kaynak, D. Knoll,
St. Lischke, A. Mai, H. Rücker, Y. Yamamoto,
L. Zimmermann
Proc. 2013 International Semiconductor
Conference Dresden – Grenoble (ISCDG),
abstr. (2013)
104
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(190) Estimation of 433 MHz Path Loss in Algae
Culture for Biosensor Capsule Application
N. Todtenberg, T. Basmer, J. Klatt, K. Schmalz
Proc. European Microwave Conference
(EuMC 2013), 712 (2013)
(191) Real-World Bluetooth Master-Slave Bridge
Deployment
N. Todtenberg, P. Kornecki, M. Mahlig
Proc. 12. GI / ITG KuVS Fachgespräch
„Drahtlose Sensornetze“, 1 (2013)
(192) Wireless Sensor Capsule for Bioreactor
N. Todtenberg, J. Klatt,
S.-T. Schmitz-Hertzberg, F. Jorde, K. Schmalz
Proc. 2013 IEEE MTT-S International Microwave Workshop Series on RF and Wireless
Technologies for Biomedical and Healthcare
Applications (IMWS-Bio 2013), (2013)
(193) An Accurate EM Modeling of 140 GHz
BiCMOS Embedded RF-MEMS Switch
S. Tolunay, M. Wietstruck, A. Göritz, M. Kaynak,
B. Tillack,
Proc. MEMSWAVE 2013, (2013)
(194) Fast and Non Intrusive Failure Analysis of
BiCMOS RF-MEMS
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.L. Cazaux
Proc. MEMSWAVE 2013, (2013)
(195) Equivalent Circuit Model of Reliable
RF-MEMS Switches for Component
Synthesis, Fabrication Process
Characterization and Failure Analysis
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.-L. Cazaux
International Journal of Microwave and
Wireless Technologies , 1 (2013)
ER S C H I ENENE
P u b l ik a t i o n e n
(196) Failure Analysis and Detection
Methodology for Capacitive RF-MEMS
Switches based on BEOL BiCMOS Process
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.L. Cazaux
Proc. 24th European Symposium on Reliability of Electron Devices, Failure Physics and
Analysis (ESREF 2013), (2013)
(197) Failure Analysis and Detection
Methodology for Capacitive RF-MEMS
Switches on BEOL BiCMOS Process
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.L. Cazaux
Microelectronics Reliability 53, 1659 (2013)
(198) Combined Raman-DLTS Investigations of
n-Type Cu-In-S Absorber Layers Grown on
Cu Tape Substrate
M. Trushin, T. Arguirov, M. Kittler, W. Seifert,
A. Klossek, T. Bernhard,
W. Gerlach-Blumenthal, A. Hänsel, O. Tober,
M. Schwabe
Physica Status Solidi A 210, 222 (2013)
–
P u b l is h e d
PAPER S
(202) Radiative Recombination and Optical Gain
Spectra in Biaxially Strained n-type
Germanium
M. Virgilio, C.L. Manganelli, G. Grosso, G. Pizzi,
G. Capellini
Physical Review B 87, 235313 (2013)
(203) Photoluminescence, Recombination Rate,
and Gain Spectra in Optically Excited
n-type and Tensile strained Germanium
Layers
M. Virgilio, L. Manganelli, G. Grosso,
T. Schroeder, G. Capellini
Journal of Applied Physics 114, 243102
(2013)
(204) Resistive Switching Characteristics in
HfO2-based Structures
Ch. Walczyk, M. Sowinska, P. Calka, D. Walczyk,
T. Bertaud, T. Schroeder
Proc. Workshop Oxydes fonctionnels pour
l’intégration en micro- et nano-électronique,
46 (2013)
(199) A 110 GHz LNA with 20 dB Gain and 4 dB
Noise Figure in an 0.13 µm SiGe BiCMOS
Technology
C.A. Ulusoy, M. Kaynak, V. Valent, B. Tillack,
H. Schumacher
Proc. International Microwave Symposium
(IMS 2013), (2013)
(205) Graphene for Bio-Sensing and Transistors
Applications
P. Wang, W. Zhang, O. Liang, G. Lupina,
J. Dabrowski, G. Lippert, W. Mehr,
T. Schroeder, Y.-H. Xie
Proc. 37th Workshop on Compound Semiconductor Devices and Integrated Circuits
(WOCSDICE 2013), 95 (2013)
(200) A Graphene-Based Hot Electron Transistor
S. Vaziri, G. Lupina, A.D. Smith, Ch. Henkel,
G. Lippert, J. Dabrowski, W. Mehr, M. Östling,
M.C. Lemme
Nano Letters 13, 1435 (2013)
(206) Ultra-Sensitive Graphene-Plasmonic Hybrid
Platform for Label-Free Detection
P. Wang, O. Liang, W. Zhang, T. Schroeder,
Y.-H. Xie
Advanced Materials 25, 4918 (2013)
(201) Manufacturable Process Integration
Approach for Graphene Devices
S. Vaziri, G. Lupina, A. Paussa, A.D. Smith,
Ch. Henkel, G. Lippert, J. Dabrowski, W. Mehr,
M. Östling, M.C. Lemme
Solid State Electronics 84, 185 (2013)
An n ual
R ep ort
2013
105
ER S C H I ENENE
P u b l ik a t i o n e n
P u b l is h e d
PAPER S
(207) 122 GHz Patch Antenna Designs by Using
BCB Above SiGe BiCMOS Wafer Process for
System-on-Chip Applications
R. Wang, Y. Sun, J. Borngräber, M. Kaynak,
B. Goettel, S. Beer, J.C. Scheytt
Proc. 24th IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2013), 1396 (2013)
(213) Monolithisch-integrierte Through-Silicon
Vias für Grounding, Power Distribution
Networks und System-on-Chip
Anwendungen
M. Wietstruck, M. Kaynak, St. Marschmeyer,
A. Göritz, S. Tolunay, B. Tillack
Proc. Mikrosystemtechnik Kongress 2013,
666 (2013)
(208) Contactless Characterization of Yeast Cell
Cultivation at 7 GHz and 240 GHz
J. Wessel, K. Schmalz, B. Cahill, G. Gastrock,
Ch. Meliani
Proc. IEEE Radio and Wireless Week
(RWW 2013), 247 (2013)
(214) High-Frequency Optimization of BiCMOS
Embedded Through-Silicon Vias for
Backside-Integrated MEMS
M. Wietstruck, M. Kaynak, St. Marschmeyer,
A. Göritz, S. Tolunay, S. Kurth, B. Tillack
Proc. MEMSWAVE 2013, (2013)
(209) Contactless Investigation of Yeast Cell
Cultivation at 7 GHz and 240 GHz Ranges
J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock,
Ch. Meliani
Proc. XV. International Conference on
Electrical Bio-Impedance (ICEBI 2013), (2013)
(215) Stabilization of the Ceria i-Phase (Ce7O12)
Surface on Si(111)
H. Wilkens, O. Schuckmann, R. Oelke,
S. Gevers, A. Schaefer, M. Bäumer,
M.H. Zoellner, T. Schroeder, J. Wollschläger
Applied Physics Letters 102, 111602 (2013)
(210) Contactless Investigation of Yeast Cell
Cultivation at 7 GHz and 240 GHz Ranges
J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock,
Ch. Meliani
Proc. XV. International Conference on
Electrical Bio-Impedance (ICEBI 2013),
abstr. 35 (2013)
(216) Structural Transitions of Epitaxial Ceria
Films on Si(111)
H. Wilkens, O. Schuckmann, R. Oelke,
S. Gevers, M. Reichling, A. Schaefer,
M. Bäumer, M. H. Zoellner, G. Niu,
T. Schroeder, J. Wollschläger
Physical Chemistry Chemical Physics 15,
18598 (2013)
(211) BiCMOS-integrierte RF-MEMS Technologien
für mm-Wellen Anwendungen
M. Wietstruck, M. Kaynak, R. Scholz, B. Tillack
Proc. MST Workshop (2013), (2013)
(212) Electro-Thermo-Mechanical Analysis of a
BiCMOS Embedded RF-MEMS Switch for
Temperature from -55°C to 125 °C
M. Wietstruck, M. Kaynak, W. Zhang, B. Tillack
Proc. 13th Topical Meeting on Silicon
Monolithic Integrated Circuits in RF Systems
(SiRF 2013), 18 (2013)
106
–
Annua l
Re p or t
2013
(217) Surface Morphology of Ultrathin Hex-Pr2O3
Films on Si(111)
H. Wilkens, J. Rodewald, S. Gevers, M.H. Zoellner, T. Schroeder, J. Wollschläger
Journal of Physics D 46, 285306, (2013)
(218) Oxygen Diffusivity in Silicon Derived from
Dynamical X-Ray Diffraction
J. Will, A. Gröschel, D. Kot, M.A. Schubert,
C. Bergmann, H.-G. Steinrück, G. Kissinger,
A. Magerl
Journal of Applied Physics 113, 073508
(2013)
Ei n g e l a d e n e
V ORTRÄ G E
(219) Compact Fiber Grating Coupler on SOI for
Coupling of Higher Order Fiber Modes
B. Wohlfeil, Ch. Stamatiadis, L. Zimmermann,
K. Petermann
Proc. Optical Fiber Communication
Conference and Exposition / National Fiber
Optic Engineers Conference
(OFC / NFOEC 2013), (2013)
(220) High Quality Ge Grown on Si for Photonics
Device Integration into BiCMOS
Y. Yamamoto, P. Zaumseil, St. Lischke,
D. Knoll, L. Zimmermann, J. Murota, B. Tillack
Proc. JSPS Core-to-Core Program „International Collaborative Research Center on
Atomically Controlled Processing for
Ultralarge Scale Integration (2013), (2013)
(221) Phosphorous Atomic Layer Doping in Ge
Using RPCVD
Y. Yamamoto, R. Kurps, Ch. Mai, I. Costina,
J. Murota, B. Tillack
Solid State Electronics 83, 25 (2013)
(222) Phosphorus Atomic Layer Doping in SiGe
Using RPCVD
Y. Yamamoto, B. Heinemann, J. Murota,
B. Tillack
Proc. of the 8th International Conference on
Silicon Epitaxy and Heterostructures
(ICSI-8) and the 6th International
Symposium on Control of Semiconductor
Interfaces (ISCSI-VI), 113 (2013)
(223) Phosphorus Diffusion Prevention in Ge by
Si Delta Layers
Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,
B. Tillack
Proc. JSPS Core-to-Core Program Workshop
Atomically Controlled Processing for Ultralarge Scale Integration, abstr. book, 3 (2013)
–
I n vi t e d
P r e s e n tat i o ns
(224) Suppression of P Diffusion
by Si Delta Layer
Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,
B. Tillack
Proc. 6th International Workshop on New
Group IV Semiconductor Nanoelectronics and
JSPS Core-to-Core Program Joint Seminar
Atomically Controlled Processing for
Ultralarge Scale Integration, (2013)
(225) A Wideband 215 - 255 GHz CB Differential
Amplifier in a 0.25 μm SiGe HBT Technology
D. Yoon, N. Kim, U. Pfeiffer, B. Heinemann,
J.-S. Rieh
Proc. Asia Pacific Microwave Conference
(APMC 2013), 351 (2013)
(226) An Equivalent Circuit with a Noise Source
for 850-nm Si Avalanche Photodetector and
Optimal Design of Si OEIC Receiver
J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker
Proc. CLEO-PR & OECC / PS, (2013)
(227) Experimental Verification and Theoretical
Description of Misfit Dislocation-Free Ge
Nanostructures on Compliant Si (001)
P. Zaumseil, Y. Yamamoto, M.A. Schubert,
M. Salvalaglio, A. Marzegalli, F. Montalenti,
B. Tillack, G. Capellini, T. Schroeder
Proc. JSPS Core-to-Core Program Workshop,
abstr. book, 25 (2013)
(228) Heteroepitaxial Growth of Ge on
Compliant Strained Nanostructured Si Lines
and Dots on (001) SOI Substrate
P. Zaumseil, Y. Yamamoto, M.A. Schubert,
T. Schroeder, B. Tillack
Proc. of the 8th International Conference on
Silicon Epitaxy and Heterostructures
(ICSI-8) and the 6th International
Symposium on Control of Semiconductor
Interfaces (ISCSI-VI), 71 (2013)
An n ual
R ep ort
2013
107
ER S C H I ENENE
P u b l ik a t i o n e n
(229) X-Ray Characterization of Ge Dots
Epitaxially Grown on Nano-Structured Si
Islands on SOI Substrates
P. Zaumseil, G. Kozlowski, Y. Yamamoto,
T. Schroeder
Journal of Applied Crystallography 46, 868
(2013)
(230) Design of a Low-Power Asynchronous
Elliptic Curve Cryptography Coprocessor
St. Zeidler, M. Goderbauer, M. Krstic
Proc. 2013 IEEE International Conference on
Electronics, Circuits, and Systems
(ICECS 2013), 569 (2013)
(231) Mixed Technology Platform for Terabit
Optical Ethernet Applications
L. Zimmermann
Proc. 6th IEEE / International Conference on
Advanced Infocomm Technology
(IEEE / ICAIT 2013), 149 (2013)
(232) Modulator Integration in High-Performance
BiCMOS
L. Zimmermann, D. Knoll, St. Lischke,
H. Richter, G. Winzer, D.J. Thomson,
F.Y. Gardes, Y. Hu, G.T. Reed, B. Goll, H. Porte,
K. Voigt, B. Tillack
Proc. of the 10th International Conference on
Group IV Photonics (GFP), 85 (2013)
(233) Monolithically Integrated 10Gbit / sec
Silicon Modulator with Driver in 0.25 µm
SiGe:C BiCMOS
L. Zimmermann, D.J. Thomson, B. Goll,
D. Knoll, St. Lischke, F.Y. Gardes, Y. Hu,
G.T. Reed, H. Zimmermann, H. Porte
Proc. 39th European Conference & Exhibition on Optical Communication (ECOC 2013),
(2013)
108
Annua l
Re p or t
2013
–
P u b l is h e d
PAPER S
(234) Influence of Chemo-Mechanical
Planarization on the Spatial Lattice tilt
Distribution in SiGe Buffer Layers
Investigated by Micro-focused X-ray
Diffraction Mapping
M.H. Zoellner, G. Chahine, M.-I. Richard,
P. Zaumseil, P. Storck, T. Schulli, T. Schroeder
Proc. JSPS Core-to-Core Program Workshop „Atomically Controlled Processing for
Ultralarge Scale Integration“ abstr. book, 17
(2013)
(235) Integration of Ferromagnetic Pr3+ doped
Cerium Oxide Epitaxial Films Ce1-xPrxO2-δ
(x=0-1) on Si (111): Film Properties
Control by Oxygen Vacancy Engineering
M.H. Zoellner, G. Niu, P. Zaumseil,
T. Schroeder
Proc. 18th Conference Insulating Films on
Semiconductors (INFOS 2013), abstr. book,
182 (2013)
(236) Temperature Dependent Reduction of
Epitaxial Ce1-xPrxO2-δ (x = 0-1) Thin films
on Si(111): A Combined TPD, XRD, XPS and
Raman Study
M.H. Zoellner, G. Niu, J.-H. Jhang,
A. Schaefer, P. Zaumseil, M. Bäumer,
T. Schroeder
Journal of Physical Chemistry C 117, 24851
(2013)
Ei n g e l a d e n e
V ORTRÄ G E
Eingeladene Vorträge
Invited Presentations
(1) Luminescence from Germanium and
Germanium on Silicon
T. Arguirov, M. Kittler, M. Oehme,
N.V. Abrosimov, O.F. Vyvenko, E. Kasper
Gettering and Defect Engineering in
Semiconductor Technology (GADEST 2013),
Oxford, September 22 - 27, 2013, UK
(2) HfO2 RRAM for embedded Non-Volatile Memory
T. Bertaud, M. Sowinska, D. Walczyk, P. Calka,
St. Kubotsch, G. Schoof, S. Thiess,
T. Schroeder, Ch. Walczyk
Novel High k Application Workshop 2013,
Dresden, March 06, 2013, Germany
(3) HfO2-based RRAM for embedded
Non-Volatile Memory
T. Bertaud
Seminar at the Slovak Academy of Science,
Bratislava, July 04 - 05, 2013, Slovakia
(4) BioMEMS zum affinitätsviskosimetrischen
Nachweis niedermolekularer Analyte
M. Birkholz
Seminar des Peter-Grünberg-Instituts, Jülich,
November 04, 2013, Germany
(5) Interdisziplinäre Perspektive: TUB und IHP
gründen das gemeinsame Labor für
Bioelektronik
M. Birkholz
BioBilanz 2013, Vertretung des Landes
Brandenburg beim Bund, Berlin, November 27,
2013, Germany
(6) Mikroelektronik für die Biotechnologie
M. Birkholz
Universität Rostock, June 18, 2013, Germany
–
I n vi t e d
P r e s e n tat i o ns
(7) Strained Germanium Heterostructures
for Infrared and Thz Light Emission
G. Capellini
8th International Conference on Silicon
Epitaxy and Heterostructures (ICSI-8),
Fukuoka, June 02 - 07, 2013, Japan
(8) Comparison of Graphene Growth
Mechanisms on Various Substrates
J. Dabrowski, G. Lippert, W. Mehr, G. Lupina
PDI Topical Workshop on MBE-Grown
Graphene 2013, Berlin, September 19 - 20,
2013, Germany
(9) Maximum Spectral Efficiency Through
Parallelized Multiple-Input-MultipleOutput Transmission Using HighResolution 3D Antenna Topologies
G. Fettweis, E. Grass, B. Lankl
Review Meeting DFG Priority Program 1655:
Wireless 100Gb / s and beyond, Frankfurt
(Oder), February 25, 2013, Germany
(10) SiGe vs. CMOS Circuits from Technology
to UWB Single-Chip System
G. Fischer
ZUSYS-Tutorial, Cottbus, May 24, 2013,
Germany
(11) Optical Spectroscopy for Process Control
in Microelectronics
O. Fursenko
Workshop on Traceable Optical Thin Film
Characterization, Berlin, BAM,
September 12, 2013, Germany
(12) Drahtlose Breitbandkommunikationssysteme
E. Grass
Sommerschule Mikroelektronik, Frankfurt
(Oder), September 02 - 06, 2013, Germany
An n ual
R ep ort
2013
109
Ei n g e l a d e n e
V ORTRÄ G E
(13) Fundamentals of Asynchronous
Circuit Design
E. Grass, M. Krstic
University of California, Irvine, May 17, 2013,
USA
(14) Towards 100 Gbps: Ultra-high Spectral
Efficiency using massive MIMO with
3D Antenna Configurations
E. Grass
IEEE International Conference on
Communications (ICC 2013), Budapest,
June 09 - 13, 2013, Hungary
(15) BiCMOS Integration of Photonic Components
D. Knoll
Open Bipolar Workshop at BCTM 2013,
Bordeaux, October 03, 2013, France
(16) Simulation und Messung des thermischen
Widerstandes von Silicium-GermaniumHetero-Bipolartransistoren
F. Korndörfer
Smart System Electronics, Chemnitz,
October 23, 2013, Germany
(17) Drahtlose Sensornetze mit Selbstheilung
und automatischer Topologiekontrolle
R. Kraemer
Institutsseminar bei der DLR, Berlin,
July 03, 2013, Germany
(18) Zuverlässigkeitsaspekte in drahtlosen
Systemen insbesondere drahtlosen
Sensornetzen
R. Kraemer
HNI-Forum an der Universität Paderborn,
Paderborn, September 03, 2013, Germany
(19) Merging InP HBTs with Si BiCMOS
T. Krämer, M. Lisker, I. Ostermay, A. Thies,
O. Krüger, T. Jensen, F.J. Schmückle, V. Krozer,
B. Tillack, W. Heinrich
E-MRS Fall Meeting Warsaw,
September 16 - 20, 2013, Poland
110
Annua l
Re p or t
2013
–
I n vi t e d
P r e s e n tat i o ns
(20) Photonic-Electronic Platform for Next
Generation Optical Transport Network
M. Kroh, M. O‘Keefe, K. Voigt, S. Fedderwitz,
G. Battista Preve, St. Lischke, T. Brast,
D. Petousi, Ch. Stamatiadis, E. Kehayas,
R. Nogueira, D. Korn, D. Roccato,
Ph.C. Schindler, I. Lazarou, Ch. Koos,
W. Freude, J. Leuthold, H. Avramopoulos,
A.G. Steffan, L. Stampoulidis, L. Zimmermann
15th International Conference on Transparent
Optical Networks (ICTON 2013), Cartagena,
June 23 - 27, 2013, Spain
(21) InP on BiCMOS Technology Platform for
Millimeter-Wave and THz MMIC
V. Krozer, T. Jensen, T. Krämer, I. Ostermay,
F.J. Schmückle, O. Krüger, W. Heinrich,
M. Lisker, B. Tillack, Ch. Meliani
6th UK, Europe, China Millimeter Waves and
THz Technology Workshop (UCMMT 13), Rome,
September 09 - 11, 2013, Italy
(22) Evaluating GALS Systems for System
Integration- Outlook and Future Prospects
M. Krstic, E. Grass, X. Fan, D. Bertozzi
University of California, Irvine, May 17, 2013,
USA
(23) Bericht eines erfolgreichen Antragstellers
P. Langendörfer
Informationsveranstaltung für Berlin und
Brandenburg zur IKT in den Arbeitsprogrammen 2014 / 2015 in „Horizont 2020“, Berlin,
October 16, 2013, Germany
(24) Bericht eines erfolgreichen Antragstellers
P. Langendörfer
HORIZONT 2020 – Auftaktveranstaltung für
die Hauptstadtregion Berlin-Brandenburg,
Berlin, November 26, 2013
Ei n g e l a d e n e
V ORTRÄ G E
(25) Deposition of Graphene on Insulators and
Conversion of Atomic Carbon into Graphene
by Van Der Waals Epitaxy
G. Lippert, J. Dabrowski, Y. Yamamoto,
F. Herziger, J. Maultzsch, J. Baringhaus,
C. Tegenkamp, M.C. Lemme, W. Mehr,
G. Lupina
The 17th European Molecular Beam Epitaxy
Workshop (Euro MBE 2013), Levi,
March 10 - 13, 2013, Finland
(26) MBE - Suitable to Growth Graphene on
Various Materials
G. Lippert, J. Dabrowski, W. Mehr, G. Lupina
Topical Workshop on MBE-Grown Graphene
2013, PDI, Berlin, September 19 - 20, 2013,
Germany
(27) Van der Waals Epitaxy of Graphene on
Insulators
G. Lippert
TU Berlin, Berlin, April 29, 2013, Germany
(28) Modern Graphene-Based Electronic
Components
G. Lupina
Seminar Plasma Process Technology, Sentech
Berlin, Berlin, February 28, 2013, Germany
(29) 245 GHz Integrated Receiver Design in SiGe
BiCMOS for Spectroscopy Applications
Y. Mao
Elektrotechnisches Kolloquium University of
Paderborn, January 29, 2013, Germany
(30) Entwicklung TSV Modul am IHP
St. Marschmeyer
3. Workshop „Tiefes Siliziumätzen“,
TU Ilmenau, September 04, 2013, Germany
(31) CMOS Integration of a New Vertical THz
Graphene Base Transistor
W. Mehr
TU Ilmenau, July 12, 2013, Germany
–
I n vi t e d
P r e s e n tat i o ns
(32) Graphene Integration in Digital Devices –
a New Vertical Graphene Base
Transistor (GBT)
W. Mehr, A. Wolff, J. Dabrowski, G. Lippert,
D. Kaiser, S. Vaziri, M. Lemme, G. Lupina
E-MRS Spring Meeting, Strasbourg,
May 27 - 31, 2013, France
(33) Hochintegriertes Höchstfrequenzsystem
zur frühzeitigen Diagnose von
Arteriosklerose
Ch. Meliani
Technologiegespräch Medizinelektronik,
Nürnberg, September 25, 2013, Germany
(34) Plaque-Charakterisierung durch KatheterHochfrequenztechnik - Einführung und
erste Ergebnisse
Ch. Meliani
28. Gefäßmedizinisches Symposium, Berlin,
November 07 - 09, 2013, Germany
(35) Surface Reaction Controlled Chemical
Processing of Group IV Semiconductors
for Advanced Electronics Technologies
J. Murota, M. Sakuraba, B. Tillack
Symposium of the 7th Sino-US Chemical
Engineering Conference, Beijing,
October 15 - 16, 2013, China
(36) Combining Individual Exercises and Games
for Rehabilitation at Home
St. Ortmann, J. Schäffner, P. Langendörfer
eHealth and the Brain-ICT for Neuropsychiatric Health, Brussels,
November 05, 2013, Belgium
(37) Google, Facebook or Big Brother –
Who knows more about you?
St. Ortmann
Science on Stage Festival 2013, Frankfurt
(Oder) / Slubice, April 25 - 28, 2013,
Germany / Poland
An n ual
R ep ort
2013
111
Ei n g e l a d e n e
V ORTRÄ G E
(38) Projektmanagement von EU-Forschungsvorhaben: Chancen und Risiken der
internationalen Kooperationen
St. Ortmann, P. Langendörfer
„Management des internationalen Technologietransfers“, gemeinsames Seminar EuropaUniversität Viadrina / ZukunftsAgentur
Brandenburg, Frankfurt (Oder),
June 13 - 14, 2013, Germany
(39) Optical Modulators: Theory, Technology
and Applications
D. Petousi
Summer School Microelectronics 2013,
Frankfurt Oder, September 02 - 06, 2013,
Germany
(40) Erfahrungen mit dem Exist-Forschungstransfer für Ausgründungen
M. Petri
Potsdam Transfer – Cluster Workshop zur
Reflektion von Verwertungsideen, Potsdam,
June 24, 2013, Germany
(41) High Speed Silicon Modulators for
Integrated Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
International Conference on Solid State
Devices and Materials (SSDM 2013), Fukuoka,
September 24 - 27, 2013, Japan
(42) Options for Silicon Based Modulators
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
Frontiers in Optics 2013, Orlando, Florida,
October 06 - 10, 2013, USA
112
Annua l
Re p or t
2013
–
I n vi t e d
P r e s e n tat i o ns
(43) Silicon Optical Modulators for Integrated
Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L.
Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
Photonics North, Ottawa, June 03 - 05, 2013,
Canada
(44) Silicon Optical Modulators for Integrated
Transceivers
G.T. Reed, D.J. Thomson, F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson, L.
Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, S.S.H. Hsu, J.-M. Fedeli,
K. Debnath, T.F. Krauss, L. O’Faolain
CLEO 2013, San Jose, California,
June 09 - 11, 2013, USA
(45) Silicon Optical Modulators for Short Reach
Interconnect
G.T. Reed, D.J. Thomson , F.Y. Gardes,
G.Z. Mashanovich, Y. Hu, K. Li, P.W. Wilson,
L. Zimmermann, H. Porte, B. Goll,
H. Zimmermann, D. Knoll, St. Lischke,
S.-W. Chen, J.-M. Fedeli, K. Debnath,
T.F. Krauss, L. O’ Faolain
ISPSA-XVI, Jeju, July 02 - 05, 2013, Korea
(46) Innovationshemmnisse in Hochschulen
und Forschungseinrichtungen
A. Sänn, O. Stecklina
Sicherheitsvorfälle in KRITIS seit 02.09.2011,
Frankfurt / Main, January 25, 2013, Germany
(47) Implantation / RTP Overview
A. Scheit, Th. Lenke
Summer School Microelectronics 2013,
Frankfurt Oder, September 02 - 06, 2013,
Germany
Ei n g e l a d e n e
V ORTRÄ G E
(48) Advanced Ge Micro- and Nanostructures
for Merging Photonics with Electronics in
Silicon Microelectronics
T. Schroeder
Gemeinsames Festkörperphysik-Seminar,
SS 2013, Bremen, May 21, 2013, Germany
(49) Compliant Ge / Si Heterostructures
without Misfit Dislocation Networks –
a Case Study for High Quality Semiconductor Integration on Si(001)
T. Schroeder
E-MRS Fall Meeting, Warsaw,
September 16 - 20, 2013, Poland
(50) Materials Research for Functionalized
More than Moore Silicon Microelectronics:
The Example of Ge Integration for Merging
Electronics and Photonics
T. Schroeder
Physikalisches Kolloquium, Universität
Osnabrück, January 10, 2013, Germany
(51) Modern Materials Research for „More than
Moore“ Silicon Microelectronics: On the
Role of Synchrotron Materials Research for
Functional Module Integration
T. Schroeder
Synchrotron Festkörperkolloquium ALBA,
Barcelona, May 07, 2013, Spain
(52) More than Moore Material Research for
Silicon Microelectronics: Advanced Ge
Micro- and Nanostructures for Photonics
T. Schroeder
Forschungszentrum, Jülich, March 27, 2013,
Germany
(53) Watching Materials at Work by in-operando
Synchrotron Research: Examples from
Microelectronics
T. Schroeder
2013 European XFEL User‘s Meeting and
Satellite Meetings, Hamburg,
January 23 - 25, 2013, Germany
–
I n vi t e d
P r e s e n tat i o ns
(54) Compliant Substrate Versus Plastic
Relaxation Effects in Ge Nanoheteroepitaxy
on Free-Standing Si(001) Nanopillars
T. Schroeder, P. Zaumseil, Ch. Reich,
G. Capellini, Y. Yamamoto, A. Marzegalli,
F. Montalenti, T. Schülli, B. Tillack
Nano-X Fundamental Instruments and
Research on Novel Nanodevices GermanChinese Workshop, Suzhou,
November 03 - 08, 2013, China
(55) EBIC Investigations of Defect
Recombination Activity in Solar Si
W. Seifert
MPIE Workshop „Interface Design of Solar
Cells“, Düsseldorf, July 02, 2013, Germany
(56) Rekombinationseigenschaften von
Versetzungen in mc-Si
W. Seifert, M. Kittler
Expertenmeeting „Versetzungsdynamik in Si“,
Fraunhofer CSP, Halle, April 30, 2013, Germany
(57) Design Flow and Techniques for Fault
Tolerant ASICs
Z. Stamenkovic, V. Petrovic, G. Schoof
20th IEEE International Symposium on the
Physical and Failure Analysis of Integrated
Circuits, Suzhou, July 15 - 19, 2013, China
(58) Beyond the Internet of Things – Security
in Wireless Sensor and Actor Networks
O. Stecklina
Mobile Endgeräte sicher nutzen,
Frankfurt (Oder), March 19, 2013, Germany
(59) Environmental Monitoring
O. Stecklina
Summer School Microelectronics 2013,
Frankfurt Oder, September 02 - 06, 2013,
Germany
An n ual
R ep ort
2013
113
Ei n g e l a d e n e
V ORTRÄ G E
(60) Low-Cost Miniaturized 122 GHz SiP
Radar Sensor
Y. Sun
Progress In Electromagnetics Research
Symposium (PIERS), Stockholm,
August 12 - 15, 2013, Sweden
(61) MEMS and Photonics Module Integration
into SiGe BiCMOS Technologies for MORE
THAN MOORE Functional Diversification
B. Tillack, B. Heinemann, M. Kaynak, D. Knoll,
A. Mai, M. Lisker, H. Rücker, Y. Yamamoto,
L. Zimmermann
ECS Conference, San Francisco,
October 27 - November 01, 2013, USA
(62) SiGe BiCMOS -Baseline Technology for
MORE THAN MOORE Functional
Diversification of Opto- and Microelectronic Devices and Circuits
B. Tillack, B. Heinemann, M. Kaynak, D. Knoll,
H. Rücker, Y. Yamamoto, L. Zimmermann
6th International Workshop on New Group IV
Semiconductor Nanoelectronics and JSPS
Core-to-Core Program Joint Seminar
Atomically Controlled Processing for
Ultralarge Scale Integration, Sendai,
February 22 - 23, 2013, Japan
(63) SiGe BiCMOS Technology for More than
Moore Functional Diversification for
Opto- and Microelectronic Application
B. Tillack, B. Heinemann, M. Kaynak, D. Knoll,
St. Lischke, A. Mai, H. Rücker, Y. Yamamoto,
L. Zimmermann
2013 International Semiconductor
Conference Dresden - Grenoble (ISCDG),
Dresden, September 26 - 27, 2013, Germany
(64) Resistive Switching Characteristics in
HfO2-based Structures
D. Walczyk, T. Bertaud, M. Sowinska, P. Calka,
St. Kubotsch, T. Schroeder, Ch. Walczyk
Summer School Microelectronics 2013, Frankfurt Oder, September 02 - 06, 2013, Germany
114
Annua l
Re p or t
2013
–
I n vi t e d
P r e s e n tat i o ns
(65) Resistive Switching Characteristics in
HfO2-based Structures
Ch. Walczyk, M. Sowinska, P. Calka, D. Walczyk,
T. Bertaud, T. Schroeder
Workshop Oxydes fonctionnels pour
l’intégration en micro- et nano-électronique,
Autrans, April 07 - 10, 2013, France
(66) Planar Antenna Designs for
Millimeter-Wave Applications
R. Wang
Elektrotechnisches Kolloquium
University of Paderborn, May 21, 2013, Germany
(67) Graphene for Bio-Sensing and Transistors
Applications
P. Wang, W. Zhang, O. Liang, G. Lupina,
J. Dabrowski, G. Lippert, W. Mehr,
T. Schroeder, Y.-H. Xie
37th Workshop on Compound Semiconductor
Devices and Integrated Circuits
(WOCSDICE 2013), Warnemünde,
May 26 - 29, 2013, Germany
(68) Mikroelektronik für die Medizintechnik
Ch. Wenger
5. Brandenburger Sensornetztag – Sensorik
meets Medizin, Frankfurt (Oder),
November 13, 2013, Germany
(69) Nano and Microelectronics Based
Healthcare and Life Sciences in BerlinBrandenburg – a Research Cluster Approach
Ch. Wenger
How Nanoelectronics Can Revolutionize Life
Sciences, Colloquium at the Belgian Embassy,
Berlin, September 17, 2013, Germany
(70) Silicon Based Microwave Chips for Medical
and Security Applications
Ch. Wenger
The International Wireless Industry
Consortium (IWPC) Workshop: mmWave / Sub-mmWave Applications and Technologies,
Los Angeles, December 03 - 05, 2013, USA
V ORTRÄ G E
–
(71) Silizium-basierte Mikroelektronik für
biomedizinische Anwendungen
Ch. Wenger
Workshop zur Vorbereitung eines strategischen Forschungsverbundes Medizintechnik
in der Leibniz-Gemeinschaft, Jena,
February 20, 2013, Germany
(72) Charakterisierung von Zellkultivierungen
im GHz Bereich
J. Wessel
Opening Symposium Joint Lab Bioelectronics,
TU Berlin, September 20, 2013, Germany
(73) High Quality Ge Grown on Si for Photonics
Device Integration into BiCMOS
Y. Yamamoto, P. Zaumseil, St. Lischke,
D. Knoll, L. Zimmermann, J. Murota, B. Tillack
JSPS Core-to-Core Program „International
Collaborative Research Center on Atomically
Controlled Processing for Ultralarge Scale
Integration, Fukuoka, June 06, 2013, Japan
(74) Compliant Si Nanostructures for Ge
Nanoheteroepitaxy - A Case Study for
Lattice Mismatched Semiconductor
Integration on Si(001)
P. Zaumseil, G. Kozlowski, Y. Yamamoto,
J. Bauer, M.A. Schubert, U. Schulli, B. Tillack,
T. Schroeder
3rd Annual World Congress of Nanoscience &
Technology (NANOS&T 2013), Xian,
August 26 - 28, 2013, China
(75) Mixed Technology Platform for Terabit
Optical Ethernet Applications
L. Zimmermann
6th IEEE / International Conference on
Advanced Infocomm Technology
(IEEE / ICAIT 2013), Hsinchu,
July 06 - 09, 2013, Taiwan
P r e s e ntat i o n s
Vorträge
Presentations
(1) Performance Improvement of Silicon
Micro-Cavity Modulators by Iteration of the
p-i-n Intrinsic Region Width
A. Al-Saadi, B.A. Franke, S. Kupijai, C. Theiss,
H. Rhee, S. Mahdi, L. Zimmermann,
D. Stolarek, H.H. Richter, H.J. Eichler,
U. Woggon, S. Meister
39th European Conference and Exhibition on
Optical Communication, London,
September 23 - 26, 2013, UK
(2) Antennas for Medical Implant Applications
Operating in the MICS Band
T. Basmer, N. Todtenberg, F. Popiela,
M. Birkholz, St. Ortmann
2013 IEEE MTT-S International Microwave
Workshop Series on RF and Wireless
Technologies for Biomedical and Healthcare
Applications (IMWS-Bio 2013), Singapore,
December 09 - 11, 2013, Singapore
(3) Extending Wireless Body Sensor Networks
using Intelligent Implants
T. Basmer, M. Birkholz
12. GI / ITG KuVS Fachgespräch „Drahtlose
Sensornetze“, Cottbus,
September 12 - 13, 2013, Germany
(4) Sicherheit in Mikrochips
T. Basmer, Z. Dyka
Summer School Microelectronics 2013,
Frankfurt (Oder), September 02 - 06, 2013,
Germany
(5) Patterning of Collagen Type I for Oriented
Cell Growth and Guided Cell Migration
St. Berger, J. Kiebist, C. Dittmer,
M. Jugendheimer, M. Diener, St. Marschmeyer,
M. Birkholz, K. Salchert
9. ThGOT / 9. Biomaterial-Kolloquium, Zeulenroda, September 03 - 05, 2013, Germany
An n ual
R ep ort
2013
115
V ORTRÄ G E
–
(6) In-Operando HAXPES Investigations of
the Resistive Switching Phenomenon in
Ti / HfO2-Based RRAM Cells
T. Bertaud, M. Sowinska, D. Walczyk, S. Thiess,
W. Drube, Ch. Walczyk, T. Schroeder
DESY Users’; Meeting 2013, Hamburg, January
23 - 25, 2013, Germany
(7) Resistive Influence of HfO2 Deposition
Technique on Resistive Switching in MIM
Devices
T. Bertaud, B. Hudec, M. Lukosius,
E. Hildebrandt, M. Sowinska, D. Walczyk,
St. Kubotsch, P. Calka, Ch. Walczyk, L. Alff,
A. Rosova, E. Dobrocka, J. Derer, J. Fedor,
A. Paskaleva, K. Fröhlich, T. Schoeder
18th Conference Insulating Films on
Semiconductors (INFOS 2013), Cracow,
June 25 - 28, 2013, Poland
(8) Biostabilität und Energieversorgung eines
implantierbaren Glucosemonitors
M. Birkholz, T. Basmer, K.-E. Ehwald,
M. Fröhlich, D. Genschow, C. Reich
8. Deutsches Biosensor Symposium
(DBS 2013), Wildau, March 10 - 13, 2013,
Germany
(9) Is Cross-Platform Protocol Stack Suitable
for Sensor Networks? Empirical Evaluation
M. Brzozowski, P. Langendörfer
6th Join IFIP Wireless & Mobile Networking
Conference (WMNC 2013), Dubai,
April 23 - 25, 2013, United Arab Emirates
(10) Overview and Benchmarks of Pragmatic
Debugging Techniques for Wireless Sensor
Networks
M. Brzozowski, P. Langendörfer
The 21st International Conference on
Software, Telecommunications and Computer
Networks (SoftCOM 2013), Primosten,
September 18 - 20, 2013, Croatia
116
Annua l
Re p or t
2013
P r e s e ntat i o n s
(11) Quick Strain and Orientation Mapping of
Heterostructured Semiconductor with
Nanofocused Xray Beam
G. Chahine, T. Schulli, M.I. Richard,
T. Schroeder, T.N. Tran Thi
2013 MRS Fall Meeting, Boston,
Massachusetts, Boston,
December 01 - 06, 2013, USA
(12) Continuous Wave Phase-Sensitive
Four-Wave Mixing in Silicon Waveguides
With Reverse-Biased p-i-n Junctions
F. Da Ros, D. Vukovic, A. Gajda,
L. Zimmermann, K. Petermann, C. Peucheret
The 39th European Conference and
Exhibition on Optical Communication
(ECOC 2013), London,
September 22 - 26, 2013, UK
(13) Deposition of Graphene on Exfoliated
Boron Nitride by Molecular Beam Epitaxy
J. Dabrowski, G. Lippert, Y. Yamamoto,
W. Mehr, M.H. Oliveira, J.M.J. Lopes,
H. Riechert, G. Lupina
Graphene Week 2013, Chemnitz,
June 02 - 07, 2013, Germany
(14) Integration of Ferromagnetic CoFe2O4 on
Si(111) Buffered with Y2O3: Epitaxial
Growth and Sharp Interfaces
P. de Coux, B. Warot-Fonrose, R. Bachelet,
V. Slumryev, J. Fontcuberta, L. Tarnawska,
P. Zaumseil, T. Schroeder, F. Sanchez
E-MRS Spring Meeting, Strasbourg,
May 27 - 31, 2013, France
(15) Preparation of Micro-Patterned
Tissue-Culture Substrates Based on
Collagen Type I
M. Diener, St. Berger, K. Salchert,
St. Marschmeyer, M. Birkholz
7. Senftenberger Innovationsforum Multiparameteranalytik Trends in Microanalytical
Systems and Chip-Based Bioanalytics,
Senftenberg, April 18 - 19, 2013, Germany
V ORTRÄ G E
–
(16) Integrator and Digitizer for a Non-Coherent
IR-UWB Receiver
J. Digel, M. Masini, M. Grözing, M. Berroth,
G. Fischer, S. Olonbayar, H. Gustat,
J.C. Scheytt
IEEE Radio and Wireless Week (RWW 2013),
Austin, January 20 - 23, 2013, USA
(17) Building Blocks for an X-Band SiGe BiCMOS
T / R Module
T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(18) Building Blocks for an X-Band SiGe BiCMOS
T / R Module
T. Dinc, I. Kalyoncu, M. Kaynak, Y. Gurbuz
2013 IEEE Radio and Wireless Week (RWW
2013), Austin, January 20 - 23, 2013, USA
(19) A 220-245 GHz Switched Beam Butler
Matrix in 0.13 μm SiGe BiCMOS Technology
M. Elkhouly, M. Yanfei, Ch. Meliani, F. Ellinger,
J.C. Scheytt
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2013), Bordeaux,
September 30 - October 03, 2013, France
(20) A 240 GHz Direct Conversion IQ Receiver in
0.13 µm SiGe BiCMOS Technology
M. Elkhouly, S. Glisic, Y. Mao, Ch. Meliani,
F. Ellinger, J.C. Scheytt
IEEE Radio Frequency Integrated Circuits
Symposium (RFIC 2013), Seattle,
June 02 - 04, 2013, USA
(21) A 245 GHz ASK Modulator and
Demodulator with 40 GBit / sec Data Rate
in 0.13 µm SiGe BiCMOS Technology
M. Elkhouly, Y. Mao, Ch. Meliani, F. Ellinger,
J.C. Scheytt
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
P r e s e ntat i o n s
(22) 49 GHz 6-bit Programmable Divider in
SiGe BiCMOS
A. Ergintav, Y. Sun, J.C. Scheytt, Y. Gurbuz
IEEE Radio and Wireless Week (RWW 2013),
Austin, January 20 - 23, 2013, USA
(23) Spectral Peak Attenuation by GALS Design
on Digital Switching Current
X. Fan, O. Schrape, M. Marinkovic, P. Dähnert,
M. Krstic, E. Grass
19th IEEE International Symposium on
Asynchronous Circuits and Systems,
Santa Monica, May 19 - 23, 2013, USA
(24) Cost-Efficient SOI Hybrid Coherent
Receiver
S. Fedderwitz, T. Brast, K. Voigt, G.B. Preve,
I. Lazarou, S. Dris, P. Bakopoulos,
H. Avramopoulos, L. Zimmermann,
A.G. Steffan
Asia Communications and Photonics
Conference (ACP-2013), Beijing,
November 12 - 15, 2013, China
(25) Electronic-Photonic Integration in the
Helios Project
J.M. Fedeli, F. Schrank, W. Bogaerts,
A. Masood, L. Zimmermann, E. Augendre,
S. Bernabe, J. Kraft, P. Grosse, T. Enot
The 10th International Conference on Group
IV Photonics (GFP 2013), Seoul,
August 28 - 30, 2013, South Korea
(26) Sub-Micron Photonics Switches: Design,
Fabrication and Characterization
H.N. Fernando, A. Stoll, R. Eisermann,
S.H.N. Tharanga, R. Haynes, L. Zimmermann,
M.M. Roth
2013 CLEO Pacific Rim Conference, Kyoto,
July 01 - 04, 2013, Japan
An n ual
R ep ort
2013
117
V ORTRÄ G E
–
(27) High-Speed SiGe BiCMOS Technologies for
Applications beyond 100 GHz
G.G. Fischer, B. Heinemann, M. Kaynak,
H. Rücker
European Microwave Integrated Circuits
Conference (EuMIC 2013), Nuremberg,
October 06 - 08, 2013, Germany
(33) Moversight: An Approach to Support
Mobility in Collaborative Applications
J. Gäbler, H. König
The IEEE IFIP Annual Conference on Wireless
on-Demand Network Systems and Services
(WONS 2013), Banff, March 18 - 20, 2013,
Canada
(28) IR-UWB Single-Chip Transceiver for
High-Band Operation Compliant to
IEEE 802.15.4a
G. Fischer, D. Martynenko, O. Klymenko,
S. Olonbayar, D. Kreiser, J. Digel, M. Masini,
M. Grözing, R. Kraemer
2013 IEEE International Conference on
Ultra-Wideband (ICUWB 2013), Sydney,
September 15 - 18, 2013, Australia
(34) High Efficiency Wavelength Conversion
of 40 Gbps Signals at 1550 nm in SOI
Nano-Rib Waveguides Using p-i-n Diodes
A. Gajda, F. Da Ros, D. Vukovic,
L. Zimmermann, C. Peucheret, B. Tillack,
K. Petermann
The 10th International Conference on Group
IV Photonics (GFP 2013), Seoul,
August 28 - 30, 2013, South Korea
(29) Radar – Sicherheit für Fahrzeuge
G.G. Fischer
Summer School Microelectronics 2013,
Frankfurt Oder, September 02 - 06, 2013,
Germany
(35) Rad-Hard 2.5 Gbps SpaceFibre Interface
Device
R. Ginosar, T. Liran, D. Alon, R. Dobkin,
M. Gioldberg, G. Sokolov, G. Burdo, N. Blatt,
St. Parkes, P. Rastetter, M. Krstic,
A. Crescenzio
The International Space System Engineering
Conference (DASIA 2013), Porto,
May 14 - 17, 2013, Portugal
(30) Comparative Study of HBT Ageing in a
Complementary SiGe:C BiCMOS Technology
G.G. Fischer, J. Molina
2013 Bipolar / BiCMOS Circuits and
Technology Meeting (BCTM 2013), Bordeaux,
September 30 - October 03, 2013, France
(31) Atomic Layer Deposition of Thin Oxide
Films for Resistive Switching
K. Fröhlich, P. Janèoviè, B. Hudec, J. Dérer,
A. Paskaleva, T. Bertaud, T. Schroeder
224th ECS Meeting, San Francisco,
October 27 - November 01, 2013, USA
(32) In-line Through Silicon Vias Etching Depths
Inspection by Spectroscopic Reflectometry
O. Fursenko, J. Bauer, St. Marschmeyer
E-MRS 2013 Fall Meeting, Warsaw,
September 16 - 20, 2013, Poland
118
P r e s e ntat i o n s
Annua l
Re p or t
2013
(36) Miniaturized 122 GHz System-in-Package
(SiP) Short Range Radar Sensor
M.G. Girma, S. Beer, J. Hasch, W. Debski,
W. Winkler, Y. Sun, T. Zwick
European Microwave Week (EuMW 2013),
Nuremberg, October 06 - 11, 2013, Germany
(37) A High-Efficiency PA with 12.7 dBm P1dB
and 32% PAE for 60 GHz Beamforming
Applications in SiGe
S. Glisic, M. Elkhouly, Ch. Meliani
European Microwave Week (EuMW 2013),
Nuremberg, October 06 - 11, 2013, Germany
V ORTRÄ G E
–
(38) Mikrosystemtechnische Integration eines
implantierbaren Biosensors
P. Glogener, M. Birkholz, T. Basmer, R. Ruff,
C. Welsch, K.-P. Hoffmann
Opening Symposium Joint Lab Bioelectronics,
TU Berlin, September 20, 2013, Germany
(39) Anwendung eines HF-Gasphasenätzprozesses zum Freilegen von monolithisch
integrierten RF-MEMS-Schaltern
A. Göritz, M. Fraschke, J. Drews, M. Wietstruck,
S. Tolunay, M. Kaynak, B. Tillack
Mikrosystemtechnik-Kongress 2013, Aachen,
October 14 - 16, 2013, Germany
(40) Characterization of Cobalt Germanide
Nanostructures on Ge(001) Substrate: an
STM Investigation on the Initial Phase of
Metal Contact Formation to Ge
T. Grzela, W. Koczorowski, G. Capellini,
N. Curson, S.R. Schofield, R. Czajka,
T. Schroeder
9th Interregional Workshop on Advanced
Nanomaterials (IWAN 2013), Prague,
November 07 - 08, 2013, Czech Republic
(41) Cobalt Germanide Nanostructures on
Ge(001): A Combined STM, LEED, XPS and
TEM Study on the Initial Phase of Metal
Contact Formation to Ge
T. Grzela, W. Koczorowski, G. Capellini,
R. Czajka, N. Curson, S.R. Schofield,
T. Schroeder
JSPS Core-to-Core Program Workshop
„Atomically Controlled Processing for
Ultralarge Scale Integration“, Frankfurt
(Oder), October 24 - 25, 2013, Germany
(42) Early Growth Studies of Cobalt Germanides
on Ge(001) Surface by Means of SPM
Technique
T. Grzela, W. Koczorowski, R. Czajka, N. Curson,
G. Capellini, T. Schroeder
Materials for Advanced Metallization (MAM
2013), Leuven, March 10 - 13, 2013, Belgium
P r e s e ntat i o n s
(43) Formation and Characterization of Cobalt
Germanide Structures on Ge(001)
Substrate – an STM Study
T. Grzela, W. Koczorowski, G. Capellini,
R. Czajka, N. Curson, S.R. Schofield,
T. Schroeder
E-MRS 2013 Fall Meeting, Warsaw,
September 16 - 20, 2013, Poland
(44) Room-Temperature STM Study of Cobalt
Germanides Growth on Ge(001)
T. Grzela, W. Koczorowski, R. Czajka, N. Curson,
G. Capellini, St. Schofield, T. Schroeder
Doktorandenforum der Sektion D der LeibnizGemeinschaft, Berlin, June 06 - 07, 2013,
Germany
(45) CMOS Based Sensor for Dielectric
Spectroscopy of Biological Cell Suspension
S. Guha, K. Schmalz, Ch. Meliani, Ch. Wenger
XV. International Conference on Electrical
Bio-Impedance (ICEBI 2013), Heilbad
Heiligenstadt, April 22 - 25, 2013, Germany
(46) CMOS Lab on a Chip Device for Dielectric
Characterization of Cell Suspensions based
on a 6 GHz Oscillator
S. Guha, F.I. Jamal, K. Schmalz, Ch. Wenger,
Ch. Meliani
European Microwave Conference, European
Microwave Week 2013, (EuMW 2013),
Nuremberg, October 06 - 10, 2013, Germany
(47) Spatial Manipulation of Nanoparticles and
Biomolecules by AC Electric Fields
R. Hölzel, Ch. Wenger, X. Knigge, E. Laux,
U.Ch. Kaletta, K. Nicklas, F.F. Bier
Nanobio Europe (NBE 2013), Toulouse,
June 10 - 12, 2013, France
An n ual
R ep ort
2013
119
V ORTRÄ G E
–
(48) Dielectrophoretic Immobilization of
Antibodies and Enzymes on Nanoelectrodes
R. Hölzel, Ch. Wenger, X. Knigge, E.-M. Laux,
U.Ch. Kaletta, S. Otto, S. Stanke, F. Bier
ITP 2013 - 20th International Symposium on
Electro- and Liquid Phase-Separation Techniques, Teneriffa, October 06 - 09, 2013, Spain
(54) Nanoelectrodes for Biomedical
Applications
U.Ch. Kaletta, Ch. Wenger, X. Knigge, R. Hölzel
Deutsches Biosensor Symposium (DBS 2013),
Wildau, March 10 - 13, 2013, Germany
(49) High-Efficiency Low-Voltage 24 GHz VCO in
130nm CMOS for FMCW Radar Applications
M. Hossain, U. Pursche, Ch. Meliani,
W. Heinrich
European Microwave Week 2013 (EuMW 2013),
Nuremberg, October 06 - 11, 2013, Germany
(55) Investigation of Oxide Thin Films
Deposited by Atomic Layer Deposition as
Dopant Source for Ultra-Shallow Doping
of Silicon
B. Kalkofen, A.A. Amusan, M. Lisker, E.P. Burte
18th Conference of Insulating Films on
Semiconductors (INFOS 2013), Krakow,
June 25 - 28, 2013, Poland
(50) Radiation Hard 0.13 Micron CMOS Library
at IHP
U. Jagdhold
Data Systems In Aerospace (DASIA 2013),
Porto, May 14 - 16, 2013, Portugal
(56) A 4-Bit SiGe Passive Phase Shifter for
X-band Phased Arrays
I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz
2013 IEEE Radio and Wireless Week (RWW
2013), Austin, January 20 - 23, 2013, USA
(51) Timing Jitter of Optical Clock Distribution
Induced by Photodetection
K. Jamshidi, E. Krune, K. Voigt, K. Petermann,
L. Zimmermann
IEEE International Conference on Optical
Interconnects 2013, Santa Fe, New Mexico,
May 05 - 07, 2013, USA
(57) A 4-Bit SiGe Passive Phase Shifter for
X-Band Phased Arrays
I. Kalyoncu, E. Ozeren, M. Kaynak, Y. Gurbuz
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(52) A 164 GHz Hetero-Integrated Source in
InP-on-BiCMOS Technology
T. Jensen, T. Al-Sawaf, M. Lisker, S. Glisic,
M. Elkhouly, T. Kraemer, I. Ostermay,
Ch. Meliani, B. Tillack, V. Krozer, W. Heinrich
European Microwave Week (EuMW 2013),
Nuremberg, October 06 - 11, 2013, Germany
(53) Crosstalk Suppression of CMOS Compatible
AlN based SAW Devices on Low Resistive
Si(100)
U.Ch. Kaletta, D. Wolansky, M. Fraschke,
Ch. Wipf, Ch. Wenger
E-MRS Spring Meeting 2013, Strasbourg,
May 26 - 31, 2013, France
120
P r e s e ntat i o n s
Annua l
Re p or t
2013
(58) Light from GeSn Heterostructures on Si
E. Kasper, M. Kittler, M. Oehme, T. Arguirov
Photonics West 2013, San Francisco, February
02 - 07, 2013, USA
(59) A CMOS Based Fast High-Voltage Generation
Circuit for BiCMOS Embedded RF-MEMS
Applications
M. Kaynak, M. Purdy, M. Wietstruck, W. Zhang,
B. Tillack
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
V ORTRÄ G E
–
P r e s e ntat i o n s
(60) A 94 GHz Flip-Chip Packaged SiGe BiCMOS
LNA on an LCP Substrate
W.T. Khan, C.A. Ulusoy, M. Kaynak,
H. Schumacher, J. Papapolymerou
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
(65) Charge Carrier Transport Along Grain
Boundaries in Silicon
M. Kittler, M. Reiche, M. Krause
Gettering and Defect Engineering in
Semiconductor Technology (GADEST 2013),
Oxford, September 22 - 27, 2013, UK
(61) Intrinsic Point Defect Generation, Oxygen
Precipitation, and Dislocation Propagation
during Flash Lamp Annealing
G. Kissinger, D. Kot, M. A. Schubert, A. Sattler
Seminarvortrag Helmholtz Zentrum DresdenRossendorf, Inst. für Ionenstrahlphysik und
Materialforschung, Dresden, September 26,
2013, Germany
(66) 1.55 μm Light Emitter Based on Dislocation
D1-Emission in Silicon
M. Kittler, M. Reiche, T. Arguirov
28th Symposium on Microelectronics
Technology and Devices (SB Micro), Curitiba,
September 02 - 06, 2013, Brazil
(62) Intrinsic Point Defect Generation, Oxygen
Precipitation, and Dislocation Propagation
during Flash Lamp Annealing
G. Kissinger, D. Kot, M.A. Schubert, A. Sattler
34. Treffen der Nutzergruppe Heißprozesse
und RTP, IHP GmbH, Frankfurt (Oder),
November 06, 2013, Germany
(63) Intrinsic Point Defect Generation, Oxygen
Precipitation, and Dislocation Propagation
During Flash Lamp Annealing
G. Kissinger, D. Kot, M.A. Schubert, A. Sattler
27th International Conference on Defects in
Semiconductors (ICDS 27), Bologna,
July 21 - 26, 2013, Italy
(64) Simulation of Vacancy Agglomeration
Based on Ab Initio Calculations and
Comparison with Experimental Results
G. Kissinger, J. Dabrowski, D. Kot
2013 JSAP-MRS Joint Symposia, Symposium
H Smart Materials Design for Ultimate
Functional Materials: Functional Core
Concept, Doshisha University Kyoto,
September 16 - 20, 2013, Japan
(67) Enhanced DNS Message Compression –
Optimizing mDNS / DNS-SD for the Use
in 6LoWPANs
R. Klauck, M. Kirsche
9th IEEE International Workshop on Sensor
Networks and Systems for Pervasive
Computing (PerCom2013), San Diego,
March 18 - 22, 2013, USA
(68) Übersicht Joint Lab IHP / BTU Cottbus &
Stand und Ziele PVcomB
A. Klossek, M. Kittler
Projekttreffen PVcomB, TU Berlin, Berlin,
March 07, 2013, Germany
(69) Übersicht Joint Lab IHP / BTU Cottbus &
Stand und Ziele PVcomB
A. Klossek, M. Kittler
Projekttreffen, Berlin, May 13, 2013, Germany
(70) Dielektrophoretische Immobilisierung von
Nanopartikeln und Proteinen an
Nanoelektroden
X. Knigge, E. Laux, K. Nicklas, U.Ch. Kaletta,
F. Bier, Ch. Wenger, R. Hölzel
Deutsches Biosensor Symposium (DBS 2013),
Wildau, March 10 - 13, 2013, Germany
An n ual
R ep ort
2013
121
V ORTRÄ G E
–
(71) Ba Nanostructure Growth on Ge(100) as a
Function of Coverage and Temperature –
an STM Study
W. Koczorowski, T. Grzela, G. Capellini,
N.J. Curson, S.R. Schofield, R. Czajka,
M.W. Radny, T. Schroeder
6th International Workshop on Surface
Physics, Niemcza, September 01 - 06, 2013,
Poland
(72) Formation and Characterization of 1D and
2D Ba Surface Structures on the Ge (100)
Surface – an STM Study
W. Koczorowski, T. Grzela, G. Capellini,
N.J. Curson, S.R. Schofield, M.W. Radny,
R. Czajka, T. Schroeder
6th Polish Conference on Nanotechnology,
Szczecin, July 09 - 12, 2013, Poland
(73) STM Characterization of BA Induced
Passivation Layer on Ge(100) Surface
W. Koczorowski, T. Grzela, G. Capellini,
S.R. Schofield, T. Schroeder, M.W. Radny,
N.J. Curson, R. Czajka
9th Interregional Workshop on Advanced
Nanomaterials (IWAN 2013), Prague,
November 07 - 08, 2013, Czech Republic
(74) On an Improved Boron Segregation
Calibration from a Particulary Sensitive
Power MOS Process
S. Koffel, A. Burenkov, M. Sekowski, P. Pichler,
D. Giubertoni, M. Bersani, M. Knaipp,
E. Wachmann, M. Schrems, Y. Yamamoto,
D. Bolze
E-MRS Spring Meeting, Symposium K,
Strasbourg, May 27 - 31, 2013, France
122
Annua l
Re p or t
2013
P r e s e ntat i o n s
(75) First Monolithic GaAs IQ Electro-Optic
Modulator, Demonstrated at 150 Gbit / s
with 64-QAM
D. Korn, P.C. Schindler, Ch. Stamatiadis,
M.F. O‘Keefe, L. Stampoulidis, R. Schmogrow,
P. Zakynthinos, N. Cameron, Y. Zhou,
R.G. Walker, E. Kehayas, I. Tomkos,
L. Zimmermann, R. Palmer, W. Freude, C. Koos,
J. Leuthold
Optical Fiber Communication Conference and
Exposition / National Fiber Optic Engineers
Conference (OFC / NFOEC 2013), Anaheim,
March 17 - 21, 2013, USA
(76) Alignment of MG-63 Osteoblasts on
Fibronectin-Coated Phosphorous Doping
Lattices in Silicon
A. Körtge, S. Stählke, R. Lange, M. Birkholz,
M. Fraschke, K. Schulz, J.G.B. Nebe, P. Elter
International Conference on Biomaterials,
Colloids and Nanomedicine (ICBCN 2013),
Dubai, January 30 - 31, 2013,
United Arab Emirates
(77) Fibronectin-Induced Aligment of MG-63
Osteoblasts on Electronically Structured
Silicon Wafers
A. Körtge, S. Stählke, M. Birkholz, M. Fraschke,
K. Schulz, J.G.B. Nebe, P. Elter
E-MRS Spring Meeting 2013, Symposium R:
Nanoengineered Bioactive Interfaces,
Strasbourg, May 27 - 31, 2013, France
(78) Steuerung der Adhäsion humaner
MG-63-Osteoblasten auf Silizium mit Hilfe
einer elektronischen Nanostruktur
A. Körtge, S. Stählke, M. Fraschke, K. Schulz,
M. Birkholz, J.B. Nebe, P. Elter
Opening Symposium Joint Lab Bioelectronics,
TU Berlin, September 20, 2013, Germany
V ORTRÄ G E
–
(79) Development of a Storage Getter Test for
Cu Contaminations in Silicon Wafers Based
on ToF-SIMS Measurements
D. Kot, G. Kissinger, A. Sattler, T. Müller
15th International Conference on Defects
Recognition, Imaging and Physics in
Semiconductors (DRIP XV), Warsaw,
September 15 - 19, 2013, Poland
(80) Influence of Cu Concentration on the
Getter Efficiency of Dislocations and
Oxygen Precipitates in Silicon Wafers
D. Kot, G. Kissinger, M. A. Schubert, A. Sattler,
T. Müller
Gettering and Defect Engineering in
Semiconductor Technology (GADEST 2013),
Oxford, September 21 - 27, 2013, UK
(81) Car-Router with Controlled Plug-In
Capabilities
R. Kraemer, R. Meyfarth, K. Walther
Embedded Systems Symposium, Munich,
July 09 - 10, 2013, Germany
(82) IHP Schaltungsprojekte: Übersicht über
die Schaltungsdesignaktivitäten mit Fokus
auf Raumfahrtanwendungen
R. Kraemer
DLR Bauteilekonferenz, Frankfurt (Oder),
February 05 - 06, 2013, Germany
(83) Ultra High Speed Wireless Communication
in the 250 - 300 GHz Band
R. Kraemer
23. Physikolympiade des Landes Brandenburg,
Frankfurt (Oder), June 01, 2013, Germany
(84) Wireless 100 Gb / s and Beyond: A Special
Focus Program of the German Scientific
Foundation
R. Kraemer
IEEE International Conference on
Communications (ICC 2013), Budapest,
June 09 - 13, 2013, Hungary
P r e s e ntat i o n s
(85) Properties of D3-Like (0,93 eV)
Luminescence in Solar Grade Silicon
Ch. Krause, T. Arguirov, D. Mankovics,
H.M. Krause, M. Kittler
Gettering and Defect Engineering in
Semiconductor Technology (GADEST 2013),
Oxford, September 22 - 27, 2013, UK
(86) Investigation of D3-like Luminescence in
MC Solar Silicon
Ch. Krause, D. Mankovics, T. Arguirov,
M. Krause, M. Kittler
DPG Frühjahrstagung, Regensburg,
March 11 - 15, 2013, Germany
(87) Improvements of IEEE 802.15.4a for
Usage in Automation Systems
D. Kreiser, S. Olonbayar
4th International Conference on Energy
Aware Computing Systems & Applications,
Istanbul, December 16 - 18, 2013, Turkey
(88) Mikrostrukturierte Elektroden für
Brennstoffzellen
N. Kroh, P. Berthold, F. Berthold
Science on Stage Festival, Frankfurt
(Oder) / Slubice, April 27, 2013, Poland
(89) VLSI-Design - Trends & Methods
M. Krstic
Summer School Microelectronics 2013,
Frankfurt (Oder), September 02 - 06, 2013,
Germany
(90) Photolithography
P. Kulse
Summer School Microelectronics 2013,
Frankfurt (Oder), September 02 - 06, 2013,
Germany
An n ual
R ep ort
2013
123
V ORTRÄ G E
–
(91) A Fully Integrated 120 GHz Six-Port
Receiver Front-End in a 130 nm SiGe
BiCMOS Technology
B. Lämmle, K. Schmalz, J. Borngräber,
J.C. Scheytt, R. Weigel, A. Koelpin,
D. Kissinger
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(92) Projekt SolarFlex – Drahtlose Vernetzung
von Photovoltaik-Anlagen
St. Lange
WTT-Forum Wireless, Berlin, June 13, 2013,
Germany
(93) Selbstorganisierende drahtlose Vernetzung
in Photovoltaik-Kraftwerken
St. Lange
12. GI / ITG KuVS Fachgespräch Sensornetze,
Cottbus, September 12 - 13, 2013, Germany
(94) Maximum Spectral Efficiency through
Parallelized Multiple-Input-MultipleOutput Transmission Using HighResolution 3D Antenna Topologies
(maximumMIMO)
B. Lankl, G. Fettweis, E. Grass, S. Krone
European Microwave Week 2013, Workshop
W19, Nuremberg, October 06 - 11, 2013,
Germany
(95) Dielectrophoretic Immobilization of
Nanoparticles and Proteins on
Nanoelectrodes
E.-M. Laux, X. Knigge, U.Ch. Kaletta, F.F. Bier,
Ch. Wenger, R. Hölzel
Nanobio Europe (NBE 2013), Toulouse,
June 10 - 12, 2013, France
124
Annua l
Re p or t
2013
P r e s e ntat i o n s
(96) Positioning Nanoparticles and Proteins on
Nanoelectrode Arrays by Dielectrophoresis
E.-M. Laux, X. Knigge, U.Ch. Kaletta, F. Bier,
Ch. Wenger, R. Hölzel
ITP 2013 - 20th International Symposium on
Electro- and Liquid Phase- Separation
Techniques, Teneriffa, October 06 - 09, 2013,
Spain
(97) Affinitätsviskosimetrischer Glucosenachweis für das on line Monitoring von
Bioprozessen
M. Lehmann, A. Niedzwiecka, M. Birkholz,
S. Junne, P. Neubauer
Opening Symposium Joint Lab Bioelectronics,
TU Berlin, September 20, 2013, Germany
(98) Integration eines affinitätsviskosimetrischen Glukosesensors in
einen Gewebereaktor
T. Liebscher, M. Birkholz, G. Gatomski,
A. Böhme, M. Frohme, A. Foitzik
Opening Symposium Joint Lab Bioelectronics,
TU Berlin, September 20, 2013, Germany
(99) High-Efficiency Grating Couplers for
Integration into a High-Performance
Photonic BiCMOS Process
St. Lischke, B. Wohlfeil, D. Knoll,
L. Zimmermann, C. Mai, Y. Yamamoto,
St. Marschmeyer, K. Voigt, B. Tillack
Asia Communications and Photonics
Conference (ACP-2013), Beijing,
November 12 - 15, 2013, China
(100) InP-Si BiCMOS Hetero Integration for
Broadband Radio Links
M. Lisker, A. Trusch, M. Fraschke, P. Kulse,
Y. Borokhovych, B. Tillack, I. Ostermay,
T. Krämer, F.-J. Schmückle, O. Krüger,
V. Krozer, W. Heinrich
Smart System Integration International
Conference and Exhibition (SSI 2013),
Amsterdam, March 13 - 14, 2013,
The Netherlands
V ORTRÄ G E
–
P r e s e ntat i o n s
(101) InP-Si BiCMOS Heterointegration Using
a Substrate Transfer Process
M. Lisker, A. Trusch, M. Fraschke, P. Kulse,
Y. Borokhovych, B. Tillack, I. Ostermay,
T. Krämer, F.-J. Schmückle, O. Krüger,
V. Krozer, W. Heinrich
223th ECS Conference, Toronto,
May 12 - 17, 2013, Canada
(106) CMOS basiert Mikrosystemplatformen –
Integrierte Hochfrequenz-, MEMS- und
Photonic-Module
A. Mai, B. Tillack, L. Zimmermann,
B. Heinemann, R. Sorge, M. Kaynak,
St. Lischke, W. Mehr
MikroSystemTechnik Kongress 2013, Aachen,
October 14 - 16, 2013, Germany
(102) 0.13 µm BiCMOS ILD Deposition using
a Silane HDP Process
M. Lisker, A. Krüger
GMM – Fachgruppe 1.2.3 Abscheide- und
Ätzverfahren, 16. Workshop und Nutzergruppentreffen 2013, Erlangen,
December 10 - 11, 2013, Germany
(107) 245 GHz Subharmonic Receiver in SiGe
Y. Mao, K. Schmalz, J. Borngräber,
J.C. Scheytt, Ch. Meliani
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
(103) Growth of Nanocrystalline Graphene Layers
on Various Dielectric Surfaces by CVD
M. Lukosius, M.H. Zoellner, G. Lippert,
J. Dabrowski, W. Mehr, X. Wang, M. Arens,
G. Lupina
Graphene 2013, Bilbao, April 23 - 26, 2013,
Spain
(104) M-S-M UV Photodetectors on GaN / Oxide / Si(111) Virtual Substrates
L. Lupina, A. Szyszka, T. Niermann,
J. Dabrowski, P. Storck, T. Schroeder
E-MRS Fall Meeting 2013, Warsaw,
September 16 - 20, 2013, Poland
(105) Novel Buffer Approach for GaN Integration
on Si(111) Platform Through Sc2O3 / Y2O3
bi-layer
L. Lupina
Leibniz Doktorandenforum 2013,
Berlin, June 06 - 07, 2013, Germany
(108) 245 GHz Subharmonic Receiver in SiGe
Y. Mao, K. Schmalz, J. Borngräber,
J.C. Scheytt, Ch. Meliani
IEEE Radio Frequency Integrated Circuits
Symposium (RFIC 2013), Seattle,
June 02 - 04, 2013, USA
(109) An Early Termination Strategy for Irregular
LDPC Codes with Layered Decoding –
Performance Evaluation and Implementation
M. Marinkovic, E. Grass, M. Krstic
The Tenth International Symposium on Wireless Communication Systems (ISWCS 2013),
Ilmenau, August 27 - 30, 2013, Germany
(110) An Impulse Radio UWB Transmitter for
Communication and Precise Localization
D. Martynenko, G. Fischer, O. Klymenko
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(111) High-Band Ultra-Wideband Transmitter for
IEEE 802.15.4a Standard
D. Martynenko, G. Fischer, O. Klymenko, D.
Kreiser, S. Olonbayar
2013 IEEE International Conference on UltraWideband (ICUWB 2013), Sydney,
September 15 - 18, 2013, Australia
An n ual
R ep ort
2013
125
V ORTRÄ G E
–
(112) Comparing Java Virtual Machines for Sensor
Nodes – First Glance: Takatuka and
Darjeeling
O. Maye, M. Maaser
The 8th International Conference on Grid and
Pervasive Computing (GPC 2013), Daegu,
May 09 - 11, 2013, Korea
(117) Real-Life Deployment of Bluetooth
Scatternets for Wireless Sensor Networks
M. Methfessel, St. Lange, R. Kraemer,
M. Zessack, P. Kollermann, St. Peter
REALWSN 2013 - Fifth Workshop on RealWorld Wireless Sensor Networks, Como Lake,
September 19 - 20, 2013, Italy
(113) Java for Smart Systems – A Field Report
O. Maye, M. Maaser
The 12th International Conference on
Electronics, Information and Communication
(ICEIC 2013), Bali,
January 30 - February 02, 2013, Indonesia
(118) An Approach to MEMS Smart Sensor Design
using CMOS BEOL
P. Michalik, D. Fernández, J. Madrenas,
M. Kaynak, M. Wietstruck
MEMSWAVE 2013, Potsdam, July 02 - 03, 2013,
Germany
(114) Residual Stress and Switching Transient
Studies for BiCMOS Embedded RF MEMS
Switch Using Advanced Electro-Mechanical
Models
A. Mehdaoui, S. Rouvillois, G. Schröpfer,
G. Lorenz, M. Kaynak, M. Wietstruck
MEMSWAVE 2013, Potsdam, July 02 - 03, 2013,
Germany
(119) 80 GB / s Decision Feedback Equalizer for
Intersymbol Interference Limited Channels
L. Moeller, A. Awny, J. Junio, C. Bolle,
J.C. Scheytt, A. Thiede
The Optical Fiber Communication Conference
and Exposition and the National Fiber Optic
Engineers Conference (OFC / NFOEC 2013),
Anaheim, March 17 - 21, 2013, USA
(115) Innovations for High Performance
Microelectronics Leibniz-Institut für
innovative Mikroelektronik
W. Mehr
DLR Bauteilekonferenz, Frankfurt (Oder),
February 05 - 06, 2013, Germany
(120) Atomically Controlled CVD Processing of
Group IV Semiconductors for Strain
Engineering and Doping in Ultralarge
Scale Integration
J. Murota, M. Sakuraba, B. Tillack
4th International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors 2013,
Grenoble, July 08 - 11, 2013, France
(116) Demo Abstract: Self-Organized Bluetooth
Scatternets for Wireless Sensor Networks
M. Methfessel, St. Lange, R. Kraemer,
M. Zessack, St. Peter
11th ACM Conference on Embedded Networked
Sensor Systems (SenSys 2013), Rom,
November 11 - 15, 2013, Italy
126
P r e s e ntat i o n s
Annua l
Re p or t
2013
(121) Evidence for the Origin of 110 Growth
Orientation of Cubic Rare Earth Oxide Films
on Si (001): Epitaxial Lattice-Matched
110-Oriented Pr0.9Y1.1O3
G. Niu, P. Zaumseil, M.A. Schubert,
M.H. Zoellner, J. Dabrowski, T. Schroeder
18th Conference Insulating Films on
Semiconductors (INFOS 2013), Cracow,
June 25 - 28, 2013, Poland
V ORTRÄ G E
–
(122) Integration of Ferromagnetic Pr3+ doped
Cerium Oxide Epitaxial Films Ce1-xPrxO2-δ
(x=0-1) on Si (111): Film Properties
Control by Oxygen Vacancy Engineering
G. Niu, M.H. Zoellner, P. Zaumseil,
T. Schroeder
18th Conference Insulating Films on
Semiconductors (INFOS 2013), Cracow,
June 25 - 28, 2013, Poland
(123) Oxygen Vacancy Engineering by Pr Doping
of Single Crystalline CeO2 Films on Silicon
for the Integration of Ferromagnetic
Films on Si
G. Niu, M.H. Zoellner, P. Zaumseil,
M.A. Schubert, T. Schroeder
Workshop of Functional Oxides for Integration in Micro- and Nano-Electronics, Autrans,
April 07 - 10, 2013, France
3+
(124) Surface Investigation of Ceria Films on
Si(111) after Post Deposition Annealing
R. Oelke, H. Wilkens, O. Schuckmann,
R. Olbrich, M. Reichling, M.H. Zoellner,
T. Schroeder, J. Wollschläger
DPG Conference 2013, Regensburg,
March 10 - 15, 2013, Germany
(125) Surface Properties of Thick Ceria Films
Grown on Si(111)
R. Olbrich, H.H. Pieper, R. Oelke,
J. Wollschläger, M.H. Zoellner, T. Schroeder,
M. Reichling
COST Meeting, Vienna, March 14 - 15, 2013,
Austria
(126) Performance and Implementation of a
Multi-Rate IR-UWB Baseband Transceiver
for IEEE802.15.4a
S. Olonbayar, D. Kreiser, R. Kraemer
IEEE International Conference on Ultra-Wideband (ICUWB 2013), Sydney,
September 15 - 18, 2013, Australia
P r e s e ntat i o n s
(127) FP7-Project StrokeBack – A Telemedicine
System Enabling Autonomous
Rehabilitation Training at Home
St. Ortmann
Symposium Rehabilitation Robotics – Clinical
Evidence and Technical Developments,
Enschede, April 08 - 09, 2013,
The Netherlands
(128) Telemedizin und ihre Anwendungen
St. Ortmann
Summer School Microelectronics 2013,
Frankfurt (Oder), September 02 - 06, 2013,
Germany
(129) Telemedizinische Schlaganfallrehabilita-
tion in den eigenen 4 Wänden
St. Ortmann, J. Schäffner
6. Deuscher AAL-Kongress, Berlin,
January 22 - 23, 2013, Germany
(130) 200 GHz Interconnects for InP-on-BiCMOS
Integration
I. Ostermay, T. Krämer, F.-J. Schmückle,
O. Krüger, V. Krozer, W. Heinrich, M. Lisker,
A. Trusch, E. Matthus, Y. Borokhovych,
B. Tillack
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
(131) W Band 2 Bit MEMS Based Digital Phase
Shifter
E. Öztürk, M. Kaynak, I. Tekin
MEMSWAVE 2013, Potsdam, July 02 - 03, 2013,
Germany
(132) TNODE: A Low Power Sensor Node Processor
for Secure Wireless Networks
G. Panic, O. Schrape, T. Basmer, F. Vater,
K. Tittelbach-Helmrich
The International Symposium on System-onChip 2013 (SoC 2013), Tampere,
October 23 - 24, 2013, Finland
An n ual
R ep ort
2013
127
V ORTRÄ G E
–
(133) A Radiation Tolerant SpaceFibre Interface
Device
St. Parkes, A. Ferrer, A. Gonzalez,
C. McClements, R. Ginosar, T. Liran, G. Sokolov,
N. Blatt, P. Rastetter, M. Krstic, A. Crescenzio
International Spacewire Conference 2013,
Gothenburg, June 10 - 14, 2013, Sweden
(134) Geometry Scalable Model Parameter
Extraction for mm-Wave SiGe-Heterojunction Transistors
A. Pawlak, M. Schroter, A. Fox
IEEE Bipolar / BiCMOS Circuits and Technology
Meeting (BCTM 2013), Bordeaux,
September 30 - October 02, 2013, France
(135) Comparison of InP and Silicon MachZehnder Modulators in Terms of Chirp
D. Petousi, L. Zimmermann, K. Voigt, J. Kreissl,
K. Petermann
39th European Conference & Exhibition on
Optical Communication (ECOC 2013), London,
September 22 - 26, 2013, UK
(136) Fault-Tolerant Reconfigurable Low-Power
Pseudorandom Number Generator
V. Petrovic, Z. Stamenkovic, M. Stojcev,
T. Nikolic, G. Jovanovic
16th IEEE International Symposium on Design
and Diagnostics of Electronic Circuits and
Systems, Carlsbad, April 08 - 10, 2013,
Czech Republic
(137) Integrated Single Event Latchup Protection
for ASICs used in Space Applications
V. Petrovic, M. Ilic, G. Schoof, Z. Stamenkovic
21st Telecommunications Forum
(TELFOR 2013), Belgrade,
November 26 - 28, 2013, Serbia
128
Annua l
Re p or t
2013
P r e s e ntat i o n s
(138) Redundant Circuits with Latchup Protection
V. Petrovic, G. Schoof, Z. Stamenkovic
20th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013),
Abu Dhabi, December 08 - 11, 2013,
United Arab Emirates
(139) Sens4U: A Modular Approach towards the
Ideal Sensor Node Software and Hardware
K. Piotrowski, J. Lösche
12. GI / ITG KuVS Fachgespräch „Drahtlose
Sensornetze“, Cottbus,
September 12 - 13, 2013, Germany
(140) Sens4U: Wireless Sensor Network
Applications for Environment Monitoring
Made Easy
K. Piotrowski, St. Peter
4th International Workshop on Software
Engineering for Sensor Network Applications
(SESENA 2013), San Francisco,
May 18 - 26, 2013, USA
(141) Characterization and Modeling of the
Degradation of SiGe Heterojunction
Bipolar Transistors (HBT)
A. Pocej, G.G. Fischer, B. Tillack,
13th Dresden Microelectronics Academy,
Dresden, September 02 - 06, 2013, Germany
(142)Compliant Ge / SiGe / Si Nanostructures free
of Misfit Dislocations by Patterned SOI
Ch. Reich, P. Zaumseil, M.A. Schubert,
Y. Yamamoto, G. Capellini, M. Salvalaglio,
A. Marzegalli, F. Montalenti, T. U. Schülli,
T. Schroeder
E-MRS 2013 Fall Meeting,
Warsaw University of Technology,
September 16 - 20, 2013, Poland
V ORTRÄ G E
–
(143) Trap-Assisted Tunneling on Extended
Defects in Tunnel Field-Effect Transistors
M. Reiche, M. Kittler, H. Uebensee
2013 Solid State Devices and Materials
(SSDM), Fukuoka, September 24 - 27, 2013,
Japan
(144) A Novel SOI-Based MOSFET with Ultra-Low
Subthreshold Swing for Cryogenic
Applications
M. Reiche, M. Kittler, H. Uebensee, E. Pippel
28th Symposium on Microelectronics Technology and Devices, Curitiba,
September 02 - 06, 2013, Brazil
(145) Carrier Transport on Dislocations
M. Reiche, M. Kittler, M. Krause, H. Uebensee
27th International Conference on Defects in
Semiconductors 2013 (ICDS 27), Bologna,
July 21 - 26, 2013, Italy
(146) Dislocations as Native Nanostructures –
Electronic Properties
M. Reiche, M. Kittler
ANBRE 2013, Seoul, August 25 - 28, 2013,
Korea
(147) Trap-Assisted Carrier Transport in
Nanostructures
M. Reiche, M. Kittler, H. Uebensee
The 13th IEEE International Conference on
Nanotechnology (IEEE Nano 2013), Beijing,
August 05 - 08, 2013, China
(148) Plasma-Etched Silicon-on-Insulator
Structures for Integration of Photonic
Components in a High-Performance BiCMOS
Process
H.H. Richter, D. Stolarek, M. Fraschke,
R. Eisermann, St. Marschmeyer, D. Knoll,
K. Schulz, L. Zimmermann, B. Tillack
DPG Frühjahrstagung 2013, Jena,
February 25 - March 01, 2013, Germany
P r e s e ntat i o n s
(149) GaAs Nanoheteroepitaxy: Compliant
Substrate Effects and Defect Formation
M. Richter, E. Ucelli, M.A. Schubert,
H. Siegwart, J. Fompeyrine, T. Schroeder,
P. Zaumseil
The 40th International Symposium on
Compound Semiconductors (ISCS 2013), Kobe,
May 19 - 23, 2013, Japan
(150) Investigation of the Surface Morphology of
Ultrathin Hex-Pr2O3 on Si(111)
J. Rodewald, H. Wilkens, S. Gevers,
M.H. Zoellner, T. Schroeder, J. Wollschläger
DPG Conference 2013, Regensburg,
March 10 - 15, 2013, Germany
(151) Complex Product Development: Using a
Combined VoC Lead User Approach
A. Sänn, M. Ni
General Online Research Conference,
Mannheim, March 04 - 06, 2013, Germany
(152) Lead User in Business-to-Business
Applications: Implementing the Voice of
the Customer
A. Sänn
11th International Open and User Innovation
Workshop, Brighton, July 15 - 17, 2013, UK
(153) The Presumer Effect: Implementing the
Customer’s Voice to Radical New Product
Developement for High-Tech SME
A. Sänn, D. Baier, A. Rese
20th International Journal of Product
Innovation Conference, Paris,
June 23 - 25, 2013, France
(154) Modified Equivalent Time Sampling for
Improving Precision of Time-of-Flight
Based Localization
V. Sark, E. Grass
IEEE International Symposium on Personal,
Indoor and Mobile Radio Communications
(PIMRC 2013), London,
September 08 - 11, 2013, UK
An n ual
R ep ort
2013
129
V ORTRÄ G E
–
(155) A 135-170 GHz Power Amplifier in an
Advanced SiGe HBT Technology
N. Sarmah, B. Heinemann, U. Pfeiffer
IEEE Symposium on Radio Frequency
Integrated Circuits (RFIC), Seattle,
June 02 - 04, 2013, USA
(156) Structural and Electrical Characterization
of Hexagonal GdScO3
A. Schaefer, J. Schubert, A. Winden,
H. Hardtdegen, M. Luysberg, T. Schroeder
44th IEEE Semiconductor Interface Specialists
Conference (SISC), Washington, Arlington,
December 05 - 07, 2013, USA
(157) Towards mm-Wave System-on-Chip with
Integrated Antennas for Low-Cost 122 and
245 GHz Radar Sensors
J.C. Scheytt, Y. Sun, K. Schmalz, Y. Mao,
R. Wang, W. Debski, W. Winkler
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(158) Subharmonic 245 GHz SiGe Receiver with
Antenna
K. Schmalz, J. Borngräber, R. Wang,
Ch. Meliani, W. Debski, W. Winkler
European Microwave Week (EuMW 2013),
Nuremberg, October 06 - 11, 2013, Germany
(159) 245 GHz SiGe Transmitter with Integrated
Antenna and External PLL
K. Schmalz, R. Wang, J. Borngräber, W. Debski,
W. Winkler, Ch. Meliani
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
130
Annua l
Re p or t
2013
P r e s e ntat i o n s
(160) A 12Gb / s Standard Cell Based ECL 4:1
Serializer with Asynchronous Parallel
Interface
O. Schrape, M. Appel, F. Winkler, M. Krstic
2013 IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2013),
Abu Dhabi, December 08 - 11, 2013,
United Arab Emirates
(161) Surface Structure of Crystalline Ce7O12
Films on Si(111) Studied by LEED and XPS
O. Schuckmann, H. Wilkens, R. Oelke,
M.H. Zoellner, A. Schaefer, T. Schroeder,
M. Bäumer, J. Wollschläger
DPG Conference 2013, Regensburg,
March 10 - 15, 2013, Germany
(162) A Simple and Flexible 64 / 32-bit RISC Core
for Embedded Multiprocessors
A. Simevski
11th International Conference on Electronics,
Telecommunications, Automation and Informatics (ETAI 2013), Ohrid, September 26 - 28,
2013, Republic of Macedonia
(163) Dependability Improvement in
Multiprocessor Systems by Dynamic
Adaptation to Application Requirements
A. Simevski
Biannual European - Latin American Summer
School on Design, Test and Reliability (BELAS
2013), Tallinn, June 19 - 21, 2013, Estonia
(164) Register-Transfer Level NMR System
Generator
A. Simevski, R. Kraemer, M. Krstic
Zuverlässigkeit und Entwurf (ZuE 2013),
Dresden, September 24 - 26, 2013, Germany
V ORTRÄ G E
–
(165) Automated Integration of Fault Injection
into the ASIC Design Flow
A. Simevski, R. Kraemer, M. Krstic
16th IEEE Symp. Defect and Fault Tolerance
in VLSI and Nanotechnology Systems (DFT
2013), New York, October 02 - 04, 2013, USA
(166) Software Implementation of Programmable
NMR Voters
A. Simevski, E. Hadzieva
11th International Conference on Electronics,
Telecommunications, Automation and Informatics (ETAI 2013), Ohrid, September 26 - 28,
2013, Republic of Macedonia
(167) Solid-Phase Epitaxy and III-V / SiGe Hybrid
Approaches for High Performance SiGe
HBTs: A Material Science Study
O. Skibitzki, F. Hatami, Y. Yamamoto,
P. Zaumseil, A. Trampert, M.A. Schubert,
T. Schroeder, W.T. Masselink, B. Tillack
JSPS Core-to-Core Program Workshop
„Atomically Controlled Processing for Ultralarge Scale Integration“, Frankfurt (Oder),
October 24 - 25, 2013, Germany
P r e s e ntat i o n s
(170) Utilizing Wake-up Receiver in Bird
Telemetry – Viability Study
N. Sobajic, J. Teran, R. Kraemer, M. Krstic
International Conference on Telecommunications in Modern Satellite, Cable and
Broadcasting Services (TELSIKS 2013), Nis,
October 16 - 19, 2013, Serbia
(171) Simultane HF / NF MOS-CV-Messungen im
nichtstationären Nichtgleichgewicht für
Prozesskontrolle und -Entwicklung
R. Sorge
12. Treffen der GMM-Fachgruppe Prozesskontrolle Inspektion & Analytik, Dresden,
February 28, 2013, Germany
(172) Impact of the Current Compliance
and Voltage Range on Resistive Switching
of Ti / HfO2 / TiN Structures by HAXPES
M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,
E. Hildebrandt, L. Alff, Ch. Walczyk,
T. Schroeder
Materials for Advanced Metallization (MAM
2013), Leuven, March 10 - 13, 2013, Belgium
(168) GaP Virtual Substrates by LatticeEngineered SiGe-Buffer on Si(001)
O. Skibitzki, A. Paszuk, F. Hatami, P. Zaumseil,
Y. Yamamoto, M.A. Schubert, B. Tillack,
W.T. Masselink, T. Hannappel, T. Schroeder
E-MRS 2013 Fall Meeting, Warsaw,
September 16 - 20, 2013, Poland
(173) Impact of Used Power during Resistive
Switching on Ti / HfO2 / TiN Cells Studied by
in-operando HAXPES
M. Sowinska, T. Bertaud, D. Walczyk, S. Thiess,
A. Gloskovskii, Ch. Walczyk, T. Schroeder
5th International Conference on Hard X-Ray
Photoelectron Spectroscopy (HAXPES 2013),
Uppsala, June 17 - 20, 2013, Sweden
(169) Fault Injection Framework for Embedded
Memories
P. Skoncej
16th IEEE Symp. Defect and Fault Tolerance
in VLSI and Nanotechnology Systems (DFT
2013), New York, October 02 - 04, 2013, USA
(174) Investigation of the Ti / HfO2 Interface by
in-situ XPS for RRAM Applications
M. Sowinska, T. Bertaud, D. Walczyk, P. Calka,
Ch. Walczyk, T. Schroeder
E-MRS Meeting, Strasbourg, May 27 - 31, 2013,
France
An n ual
R ep ort
2013
131
V ORTRÄ G E
–
(175) Cost-effective Broadband GaAs IQ
Modulator Array for Long-Reach
OFDM-PONs
L. Stampoulidis, E. Giacoumidis, M.F. O’Keefe,
I. Aldaya, R.G. Walker, Y. Zhou, N. Cameron,
E. Kehayas, A. Tsokanos, I. Tomkos, N.J. Doran,
L. Zimmermann
39th European Conference and Exhibition on
Optical Communication (ECOC 2013), London,
September 23 - 26, 2013, UK
(176) Fabrication of the First High-speed GaAs IQ
Electro-optic Modulators Arrays and
Applicability Study for Low-Cost Tb / s
Direct-Detection Optical OFDM Networks
L. Stampoulidis, M.F. O‘Keefe, E. Giacoumidis,
R.G. Walker, Y. Zhou, N. Camerin, E. Kehayas,
I. Tomkos, L. Zimmermann
Optical Fiber Communication Conference and
Exposition / National Fiber Optic Engineers
Conference (OFC / NFOEC 2013), Anaheim,
March 17 - 21, 2013, USA
(177) A Fair Trade Multi-Hop Routing in Wireless
Sensor Networks
O. Stecklina, P. Langendörfer, Ch. Goltz
6th Joint IFIP Wireless & Mobile Networking
Conference (WMNC 2013), Dubai,
April 23 - 25, 2013, United Arab Emirates
(178) Design of a Tailor-Made Memory Protection
Unit for Low Power Microcontrollers
O. Stecklina, P. Langendörfer, H. Menzel
8th IEEE International Symposium on
Industrial Embedded Systems (SIES 2013),
Porto, June 19 - 21, 2013, Portugal
(179) The Crux of OMNeT++ on Development for
a Specific Wireless Sensor Node Platform,
A Progress Report
O. Stecklina, A. Krumholz
12. GI / ITG KuVS Fachgespräche
„Sensornetze“ (FGSN), Cottbus,
September 12 - 13, 2013, Germany
132
Annua l
Re p or t
2013
P r e s e ntat i o n s
(180) Defect Analysis of Polycrystalline Silicon
Thin Film Solar Cells
S. Steffens, D. Amkreutz, A. Klossek, M. Kittler,
C. Becker, B. Rech
IEEE 39th Photovoltaic Specialists Conference
(PVSC), Tampa, June 16 - 21, 2013, USA
(181) Recombination Activity at the Atomic
Scale: Correlative Analysis of Grain
Boundaries in Multicrystalline Silicon
Solar Cells
A. Stoffers, O. Cojocaru-Mirédin,
O. Breitenstein, W. Seifert, D. Raabe
EUROMAT 2013, Sevilla,
September 08 - 13, 2013, Spain
(182) A Low-Cost Miniature 120 GHz SiP
FMCW / CW Radar Sensor with Software
Linearization
Y. Sun, M. Marinkovic, G. Fischer, W. Winkler,
W. Debski, S. Beer, T. Zwick, J.C. Scheytt
International Solid-State Circuits Conference
(ISSCC 2013), San Francisco,
February 17 - 21, 2013, USA
(183) Gallium Nitride MSM UV Detector
Structures on Silicon Substrates Integrated
via Oxides Buffer Layers
A. Szyszka, L. Tarnawska, M.A. Schubert,
G. Lupina, M. Mazur, T. Schroeder
37th Workshop on Compound Semiconductor
Devices and Integrated Circuits (WOCSDICE 2013), Warnemünde, May 26 - 29, 2013,
Germany
(184) M-S and M-O-S Contacts to N-Polar GaN on
Silicon (111) for UV Photodetector
Application
A. Szyszka, L. Lupina, G. Lupina, K. Malecha,
T. Schroeder
2013 International Semiconductor Conference Dresden - Grenoble (ISCDG), Dresden,
September 26 - 27, 2013, Germany
V ORTRÄ G E
–
P r e s e ntat i o n s
(185) Interface Science on the MBE Grown GaN
on Sc2O3 / Y2O3 / Si(111) Templates
L. Tarnawska, J. Dabrowski, P. Storck,
T. Schroeder
17th European Molecular Beam Epitaxy
Workshop, Levi, March 10 - 13, 2013, Finland
(190) Real-world Bluetooth Master-Slave Bridge
Deployment
N. Todtenberg, P. Kornecki, M. Mahlig
12. GI / ITG KuVS Fachgespräch „Drahtlose
Sensornetze“, Cottbus,
September 12 - 13, 2013, Germany
(186) 2D Simulations of the Grain Boundary Light
Beam Induced (GB-LBIC) Technique on
Polycrystalline Silicon Thin Films
A.-M. Teodoreanu, F. Friedrich, L. Korte,
R. Leihkauf, M. Kittler, B. Rech, Ch. Boit
28th European Photovoltaic Solar Energy Conference (28th EU PVSEC), Paris,
September 30 - October 04, 2013, France
(191) Wireless Sensor Capsule for Bioreactor
N. Todtenberg, J. Klatt,
S.-T. Schmitz-Hertzberg, F. Jorde, K. Schmalz
2013 IEEE MTT-S International Microwave
Workshop Series on RF and Wireless Technologies for Biomedical and Healthcare
Applications (IMWS-Bio 2013), Singapore,
December 09 - 12, 2013, Singapore
(187) Status Evaluierung von IHP SiGe-BiCMOS
Technologien für Raumfahrtanwendungen
F. Teply
DLR Bauteilekonferenz, Frankfurt (Oder),
February 05 - 06, 2013, Germany
(192) An Accurate EM Modeling of 140 GHz
BiCMOS Embedded RF-MEMS Switch
S. Tolunay, M. Wietstruck, A. Göritz, M. Kaynak,
B. Tillack,
MEMSWAVE 2013, Potsdam, July 01 - 03, 2013,
Germany
(188) Integration of High Performance Silicon
Optical Modulators
D.J. Thomson, F.Y. Gardes, Y. Hu,
G. Mashanovich, G.T. Reed, L. Zimmermann,
D. Knoll, St. Lischke, H. Porte, B. Goll,
H. Zimmermann, L. Ken, P. Wilson, S-W. Chen,
S.H. Hsu, G.-H. Duan, A. Le Liepvre, C. Jany,
A. Accard, M. Lamponi, D. Make, F. Lelarge,
S. Messaoudene, D. Bordel, J.-M. Fedeli,
S. Keyvaninia, G. Roelkens, D. Van Thourhout
The 10th International Conference on Group
IV Photonics (GFP 2013), Seoul,
August 28 - 30, 2013, South Korea
(189) Estimation of 433 MHz Path Loss in Algae
Culture for Biosensor Capsule Application
N. Todtenberg, T. Basmer, J. Klatt, K. Schmalz
European Microwave Conference (EuMC 2013),
Nuremberg, October 06 - 11, 2013, Germany
(193) Fast and Non Intrusive Failure Analysis of
BiCMOS RF-MEMS
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.L. Cazaux
MEMSWAVE 2013, Potsdam, July 02 - 03, 2013,
Germany
(194) Failure Cause Detection Methodology for
RF-MEMS on a BEOL BiCMOS Process
N. Torres Matabosch, F. Coccetti, M. Kaynak,
B. Espana, B. Tillack, J.L. Cazaux
24th European Symposium on Reliability of
Electron Devices, Failure Physics and Analysis
(ESREF 2013), Arachon,
September 30 - October 04, 2013, France
An n ual
R ep ort
2013
133
V ORTRÄ G E
–
(195) A 110 GHz LNA with 20 dB Gain and 4 dB
Noise Figure in an 0,13 µm SiGe BiCMOS
Technology
C.A. Ulusoy, M. Kaynak, V. Valent, B. Tillack,
H. Schumacher
International Microwave Symposium (IMS
2013), Seattle, June 02 - 07, 2013, USA
(196) Graphene Hot Electron Transistors
S. Vaziri, G. Lupina, A.D. Smith, Ch. Henkel,
G. Lippert, J. Dabrowski, W. Mehr, M. Östling,
M.C. Lemme
Graphene 2013, Bilbao, April 23 - 26, 2013, Spain
(197) Resistive Switching Characteristics in
HfO2-based Structures
Ch. Walczyk, M. Sowinska, D. Walczyk, P. Calka,
St. Kubotsch, T. Schroeder, T. Bertaud
Arbeitskreis „Materialien für Nichtflüchtige
Speicher“, Kiel, April 24, 2013, Germany
(198) 122 GHz Patch Antenna Designs by Using
BCB above SiGe BiCMOS Wafer Process for
System-on-Chip Applications
R. Wang, Y. Sun, J. Borngräber, M. Kaynak,
B. Goettel, S. Beer, J.C. Scheytt
24th IEEE International Symposium on
Personal, Indoor and Mobile Radio
Communications (PIMRC 2013), London,
September 08 - 11, 2013, UK
(199) Contactless Characterization of Yeast Cell
Cultivation at 7 GHz and 240 GHz
J. Wessel, K. Schmalz, B. Cahill, G. Gastrock,
Ch. Meliani
IEEE Radio and Wireless Week (RWW 2013),
Austin, January 20 - 23, 2013, USA
(200) Contactless Investigation of Yeast Cell
Cultivation at 7 GHz and 240 GHz Ranges
J. Wessel, K. Schmalz, B.P. Cahill, G. Gastrock,
Ch. Meliani
XV. International Conference on Electrical
Bio-Impedance (ICEBI 2013), Heilbad
Heiligenstadt, April 22 - 25, 2013, Germany
134
Annua l
Re p or t
2013
P r e s e ntat i o n s
(201) BiCMOS-integrierte RF-MEMS Technologien
für mm-Wellen Anwendungen
M. Wietstruck, M. Kaynak, R. Scholz, B. Tillack
MST Workshop, Bonn, May 16, 2013, Germany
(202) Electro-Thermo-Mechanical Analysis of a
BiCMOS Embedded RF-MEMS Switch for
Temperature from -55°C to 125 °C
M. Wietstruck, M. Kaynak, W. Zhang, B. Tillack
13th Topical Meeting on Silicon Monolithic
Integrated Circuits in RF Systems (SiRF 2013),
Austin, January 20 - 22, 2013, USA
(203) Monolithisch-integrierte Through-Silicon
Vias für Grounding, Power Distribution
Networks und System-on-Chip Anwendungen
M. Wietstruck, M. Kaynak, St. Marschmeyer,
A. Göritz, S. Tolunay, B. Tillack
Mikrosystemtechnik Kongress 2013, Aachen,
October 14 - 16, 2013, Germany
(204) High-Frequency Optimization of BiCMOS
Embedded Through-Silicon Vias for
Backside-Integrated MEMS
M. Wietstruck, M. Kaynak, St. Marschmeyer,
A. Göritz, S. Tolunay, S. Kurth, B. Tillack
MEMSWAVE 2013, Potsdam, July 02 - 03, 2013,
Germany
(205) Post Deposition Annealing of Ceria Films
on SiO(111)
H. Wilkens, R. Oelke, O. Schuckmann,
R. Olbrich, M. Reichling, M.H. Zoellner,
T. Schroeder, J. Wollschläger
DPG Conference 2013, Regensburg,
March 10 - 15, 2013, Germany
(206) Compact Fiber Grating Coupler on SOI for
Coupling of Higher Order Fiber Modes
B. Wohlfeil, Ch. Stamatiadis, L. Zimmermann,
K. Petermann
Optical Fiber Communication Conference and
Exposition / National Fiber Optic Engineers
Conference (OFC / NFOEC 2013), Anaheim,
March 17 - 21, 2013, USA
V ORTRÄ G E
–
(207) Phosphorus Atomic Layer Doping in SiGe
Using RPCVD
Y. Yamamoto, B. Heinemann, J. Murota,
B. Tillack
The 8th International Conference on Silicon
Epitaxy and Heterostructures (ICSI-8) and
the 6th International Symposium on
Control of Semiconductor Interfaces (ISCSIVI), Fukuoka, June 02 - 07, 2013, Japan
P r e s e ntat i o n s
(212) Experimental Verification and Theoretical
Description of Misfit Dislocation-Free Ge
Nanostructures on Compliant Si (001)
P. Zaumseil, Y. Yamamoto, M.A. Schubert,
M. Salvalaglio, A. Marzegalli, F. Montalenti,
B. Tillack, G. Capellini, T. Schroeder
JSPS Core-to-Core Program Workshop, Frankfurt (Oder), October 24 - 25, 2013, Germany
(208) Phosphorus Diffusion Prevention in Ge
by Si Delta Layers
Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,
B. Tillack
JSPS Core-to-Core Program Workshop
Atomically Controlled Processing for
Ultralarge Scale Integration, Frankfurt (Oder),
October 24 - 25, 2013, Germany
(213) Heteroepitaxial Growth of Ge on
Compliant Strained Nanostructured Si
Lines and Dots on (001) SOI Substrate
P. Zaumseil, Y. Yamamoto, M.A. Schubert,
T. Schroeder, B. Tillack
The 8th International Conference on Silicon
Epitaxy and Heterostructures (ICSI-8) and
the 6th International Symposium on
Control of Semiconductor Interfaces (ISCSIVI), Fukuoka, June 02 - 07, 2013, Japan
(209) Suppression of P Diffusion by Si
Delta Layer
Y. Yamamoto, P. Zaumseil, R. Kurps, J. Murota,
B. Tillack
6th International Workshop on New Group IV
Semiconductor Nanoelectronics and JSPS
Core-to-Core Program Joint Seminar Atomically Controlled Processing for Ultralarge Scale
Integration, Sendai, February 22 - 23, 2013,
Japan
(214) Reduction of Structural Defects in Ge
Epitaxially Grown on Nano-structured
Si Islands on SOI Substrate
P. Zaumseil, Y. Yamamoto, M.A. Schubert,
T. Schroeder, B. Tillack
Gettering and Defect Engineering in
Semiconductor Technology (GADEST 2013),
Oxford, September 22 - 27, 2013, UK
(210) A Wideband 215 - 255 GHz CB Differential
Amplifier in a 0.25-μm SiGe HBT Technology
D. Yoon, N. Kim, U. Pfeiffer, B. Heinemann,
J.-S. Rieh
Asia Pacific Microwave Conference (APMC)
2013, Seoul, November 05 - 08, 2013,
South Korea
(215) Selective Epitaxy of Ge on Nano-Structured
Si Substrates for Future High Performance
and Multifunctionalized Si Micro- and
Nano-Electronics
P. Zaumseil, G. Kozlowski, Y. Yamamoto,
M.A. Schubert, T.U. Schülli, B. Tillack, G. Niu,
T. Schroeder
CHInano Conference and Expo 2013, Suzhou,
September 24 - 27, 2013, China
(211) An Equivalent Circuit with a Noise Source
for 850-nm Si Avalanche Photodetector and
Optimal Design of Si OEIC Receiver
J.-S. Youn, M.-J. Lee, K.-Y. Park, H. Rücker
CLEO-PR & OECC / PS, Kyoto,
June 30 - July 04, 2013, Japan
An n ual
R ep ort
2013
135
V ORTRÄ G E
–
(216) Design of a Low-Power Asynchronous
Elliptic Curve Cryptography Coprocessor
St. Zeidler, M. Goderbauer, M. Krstic
2013 IEEE International Conference on
Electronics, Circuits, and Systems (ICECS
2013), Abu Dhabi, December 08 - 11, 2013,
United Arab Emirates
(217) WFK: Broadband Aircraft Cabin-Integrated
WLAN Communication System
V. Ziegler, B. Schoenlinner, B. Schulte,
J. Sabater, S. Bovelli, J. Kunisch, K. Maulwurf,
M. Martinez-Vazquez, C. OikonomopoulosZachos, S. Glisic, M. Ehrig, E. Grass
International Microwave Symposium
(IMS 2013), Seattle, June 02 - 07, 2013, USA
(218) Modulator Integration in High-Performance
BiCMOS
L. Zimmermann, D. Knoll, St. Lischke,
H. Richter, G. Winzer, D.J. Thomson,
F.Y. Gardes, Y. Hu, G.T. Reed, B. Goll, H. Porte,
K. Voigt, B. Tillack
The 10th International Conference on Group
IV Photonics (GFP), Seoul,
August 28 - 30, 2013, South Korea
(219) Monolithically Integrated 10Gbit / sec
Silicon Modulator with Driver in 0.25 µm
SiGe:C BiCMOS
L. Zimmermann, D.J. Thomson, B. Goll,
D. Knoll, St. Lischke, F.Y. Gardes, Y. Hu,
G.T. Reed, H. Zimmermann, H. Porte
39th European Conference & Exhibition on
Optical Communication (ECOC 2013), London,
September 22 - 26, 2013, UK
136
Annua l
Re p or t
2013
P r e s e ntat i o n s
(220) Influence of Chemo-Mechanical
Planarization on the Spatial Lattice tilt
Distribution in SiGe Buffer Layers
Investigated by Micro-focused X-ray
Diffraction Mapping
M.H. Zoellner, G. Chahine, M.-I. Richard,
P. Zaumseil, P. Storck, T. Schulli, T. Schroeder
JSPS Core-to-Core Program Workshop
„Atomically Controlled Processing for Ultralarge Scale Integration“, Frankfurt (Oder),
October 24 - 25, 2013, Germany
(221) Influence of Chemo-Mechanical
Planarization on Threading Dislocations
in SiGe Virtual Substrates Investigated by
Micro-Focussed X-ray Diffraction Mapping
M.H. Zoellner, T. Schroeder
InternaI ESRF ID01 Final Report about Visitor
Collaboration, Grenoble, April 26, 2013, France
B e r ic h t e
Berichte
Reports
(1) In-Operando HAXPES Investigations of
HfO2-based RRAM Structures
T. Bertaud, M. Sowinska, D. Walczyk, S. Thiess,
A. Gloskovskii, P. Calka, Ch. Walczyk,
T. Schroeder,
DESY Annual Report 2012, (2013)
–
R e p o r ts
(7) Data Flow Driven BAN Architecture &
Selected Algorithms
St. Ortmann, D. Biswas, A. Cranny, J. Achner,
J. Klemke
StrokeBack Deliverable D4.1, (2013)
(8) Intermediate Report 2
St. Ortmann
StrokeBack Deliverable D1.3, (2013)
(2) DFG-Forschergruppe FOR 653 „Aktive und
abstimmbare mikrophotonische Systeme
auf der Basis von Silicon-On-Insulator
(SOI)“
E. Brinkmeyer, M. Eich, J. Müller,
K. Petermann, J. Bruns, B. Tillack,
L. Zimmermann
Abschlussbericht, (2013)
(9) Periodic Summary & Activity Report 2
St. Ortmann, A. Cranny, M. Schauer
StrokeBack Deliverable D1.3, (2013)
(3) Optimizing Figure of Merit for Nonlinear
Processes by Co-Design of p-i-n Junction
and Silicon Nano-Rib Waveguide
A. Gajda, L. Zimmermann, B. Wohlfeil, J. Bruns,
K. Petermann, B. Tillack
Report: Tunable and Active Silicon Photonics
(TASP 2011), (2013)
(11) SILTRONIC- IHP Technology Project on
the Development of GaN Virtual Substrates
on Si Wafers
T. Schroeder
Industry Report 2010 - 2012, (2013)
(4) Exploitation Plan
I. Lamprinos, N. Ioannidis, J. Vlotzos,
G. Giannakopoulou, E. Vogiatzaki, M. Schauer,
St. Ortmann
StrokeBack Deliverable D7.2, (2013)
(5) A Survey on Java Virtual Machines for
Sensor Nodes
O. Maye
Technical Report, (2013)
(6) Light Induced Crystalliization and
Characterization of Si Nanostructures
T. Mchedlidze, M. Kittler
Technical Report, (2013)
(10) Zwischenbericht ZIM-Projekt ATEM
St. Ortmann
BMWi Projekt ATEM; Förderkennzeichen
KF2123408WD2, (2013)
(12) Secure, Mobile Visual Sensor Networks
ArchiTecture
O. Stecklina, F. Vater, St. Kornemann,
P. Langendörfer
Schlussbericht, (2013)
(13) SILTRONIC – IHP Technology Report,
Activity Report Q1 / Q2 2013
P. Storck, T. Schroeder, L. Lupina
Industry Report, (2013)
(14) SOI-Nanowaveguides and Waveguide Diode
Structures Fabricated in BiCMOS Technology
H. Tian, G. Winzer, D. Stolarek, H. Richter,
L. Zimmermann, B. Tillack
Abschlussbericht, (2013)
An n ual
R ep ort
2013
137
H a bi l i t a t i o n e n / Diss e r t a t i o n e n
Monographien
Monographs
Habilitationen / Dissertationen
Habilitations / Dissertations
H a bi l i t a t i o n s / Diss e r t a t i o n s
(7) Influence of Vacancies introduced by RTA
on the Nucleation, Size, Morphology, and
Gettering Efficiency of Oxygen Precipitates
in silicon Wafers
D. Kot
Dissertation, BTU Cottbus, (2013)
(1) Untersuchung von CeOx-, PrOx- und
CexPr1-xO2-δ-Filmen auf Si(111) mittels
hochenergetischer Röntgen-Photoelektronenspektroskopie
A. Allahgholi
Dissertation, Universität Bremen, (2013)
(8) Design Methodology for highly Reliable
Digital ASIC Designs Applied to NetworkCentric System Middleware Switch Processor
V. Petrovic
Dissertation, BTU Cottbus, (2013)
(2) GALS Design Methodology Based on
Pausible Clocking
X. Fan
Dissertation, Humboldt University of Berlin,
(2013)
(9) Material Science for High Performance
SiGe HBTs: Solid-Phase Epitaxy and
III-V / SiGe Hybrid Approaches
O. Skibitzki
Dissertation, BTU Cottbus, (2013)
(3) Measurement, Characterization and
Emulation of Wideband MIMO Channels
J. Gutierrez Teran
Dissertation, University of Cantabria,
Santander, (2013)
(10) Enabling Functional Tests of Asynchronous
Circuits Using a Test Processor Solution
St. Zeidler
Dissertation, BTU Cottbus, (2013)
(4) Oxygen Engineered Hafnium Oxide Thin
Films Grown by Reactive Molecular Beam
Epitaxy
E. Hildebrandt
Dissertation, TU Darmstadt, (2013)
(5) Optical Characterization of Thin-Film
Si Solar Cells and Knowledge Transfer
from Bulk mc-Si
A. Klossek
Dissertation, BTU Cottbus-Senftenberg,
Cottbus, (2013)
(6) Zum thermischen Widerstand von
Silicium-Germanium-HeteroBipolartransistoren
F. Korndörfer
Dissertation, TU Chemnitz, (2013)
138
–
Annua l
Re p or t
2013
Di p l o m - / M a s t e r - / B a c h e l o r a r b e i t e n
Diplomarbeiten / Masterarbeiten / Bachelorarbeiten
Diploma Theses / Master Theses / Bachelor Theses
–
Di p l o m a - s / M a s t e r - / B a c h e l o r
T h e s e s
(7) Modeling and Optimization of 60 GHz OFDM
Baseband Receiver in Simulink
K. Krishnegowda
Master Thesis, Warsaw University of
Technology, Poland, (2013)
(1) Leading People the Modern Way: A Case
Study on Shared Leadership in the German
Healthcare Sector
M. Bierzynski
Master Thesis, University of Vaasa, Vaasa,
Finland, (2013)
(8) Electrical Characterization of HfO2-based
Embedded ReRAM-Structures:
A Comparative Study
St. Kubotsch
Master Thesis, TH Wildau, (2013)
(2) Evaluation von Network-on-Chip SwitchArchitekturen in nanoskalierter CMOSTechnologie
M. Dunkel
Diploma Thesis, Universität Potsdam, (2013)
(9) Investigation of the Ageing of RF
Transistors and its Influence on the
Performance of RF Circuits
J. Molina
Master Thesis, TU Berlin, (2013)
(3) Technologische Entwicklung und
Charakterisierung von SiliziumnitridWellenleitern
R. Eisermann
Master Thesis, BTU Cottbus, (2013)
(10) Konzeption und Entwicklung einer
softwarebasierten Erfassung und
Visualisierung von Anlagenzuständen
in der Halbleiterindustrie
F. Neß
Bachelor Thesis, bbw Hochschule, Berlin,
(2013)
(4) Mikrosystemtechnische Integration eines
implantierbaren Biosensors
P. Glogener
Bachelor Thesis, TH Wildau, (2013)
(5) Entwurf und Evaluation eines asynchronen
Coprozessors für die Elliptische-KurvenKryptographie
M. Goderbauer
Master Thesis, Hasso Plattner Institut,
Universität Potsdam, (2013)
(6) Optimierung des Graphen Transfers für die
Herstellung von elektronischen
Bauelementen
J. Kitzmann
Master Thesis, TH Wildau, (2013)
(11) Untersuchung der Energieeffizienz bei
Wake-Up Systemen für die Kommunikation
von Sensorknoten mit Satelliten
U. Nordmann
Master Thesis, BTU Cottbus, (2013)
(12) FEM Simulation (COMSOL Multiphysics®)
of Piezoelectric Aluminum Nitride Based
Stressors for Integrated Germanium Light
Emitter
S. Rajaretnam
Master Thesis, TUHH Hamburg, (2013)
(13) Charakterisierung der elektrischen
Eigenschaften von Korngrenzen in Silizium
B. Schwartz
Diploma Thesis, BTU Cottbus, (2013)
An n ual
R ep ort
2013
139
Pate n te
(14) Entwurf eines integrierten 16V DC / DC
Down Converters für Luft- und
Raumfahrtanwendungen
S. Simon
Master Thesis, BTU Cottbus, (2013)
(15) MAC Protocol Synthesis Dedicated to
Wireless Sensor Networks
R. Sokolowski
Master Thesis, Westpomeranian University of
Technology Szczecin, Poland, (2013)
(16) SPA-LEED Untersuchungen an dünnen
Ceroxid- und Mischoxidfilmen auf Si(111)
W. Spieß
Bachelor Thesis, Universität Osnabrück, (2013)
(17) Untersuchungen zum Austausch der
PMD-Schicht für die 0,25 µm
BiCMOS-Technologie
D. Szonn
Bachelor Thesis, FH Brandenburg, (2013)
(18) Reverse Engineering and Countermeasures
for Digital ASICs
C. Wittke
Master Thesis, BTU Cottbus-Senftenberg,
Cottbus, (2013)
(19) Aufbau und Funktionsweise eines
kontinuierlich-strahlenden TerahertzSpektrometers
A. Wolf
Bachelor Thesis, TH Wildau, (2013)
–
Pate nts
Patente
Patents
(1) A CMOS-Compatible Germanium
Tunable Laser
G. Capellini, Ch. Wenger, T. Schroeder
IHP.368.PCT, PCT-Anmeldung am 10.02.2013,
AZ: PCT / EP2013 / 052702
(2) Abschirmung als struktureller Bestandteil
von Krypto-Chips
Z. Dyka, P. Langendörfer
IHP.376.PCT, PCT-Anmeldung am 25.10.2013,
AZ: PCT / EP2013 / 072447
(3) IQ-Kalibrierung eines direkten Frequenzkonverters durch Vektormodulation eines
lokalen Oszillatorsignals
M. Elkhouly
IHP.400.13 DE-Patentanmeldung, am
27.06.2013, AZ: DE 10 2013 212 535.6
(4) Elektronisch schwenkbare Gruppenantenne
mit Broadcast-basierter Steuerung
E. Grass, Ch. Meliani
IHP.385.13 DE-Patentanmeldung, am
27.05.2013, AZ: DE 10 2013 209 844.8
(5) Germanium PIN-Fotodiode für die
Integration in eine CMOS- oder BiCMOSTechnologie
D. Knoll, St. Lischke, Y. Yamamoto,
L. Zimmermann, A. Trusch
IHP.388.12, DE Patentanmeldung am
31.01.2013, AZ: DE 10 2013 201 644.1
(6) Germanium PIN-Fotodiode für die
Integration in eine CMOS- oder BiCMOSTechnologie
D. Knoll, St. Lischke, Y. Yamamoto,
L. Zimmermann, A. Trusch
IHP.388.PCT, PCT-Anmeldung am 19.09.2013,
AZ: PCT / EP2013 / 069511
140
Annua l
Re p or t
2013
Pate n te
–
Pate nts
(7) Einzelelektrodenplatte zur Verwendung
in einer Brennstoffzelle
N. Kroh, A. Wolff, F. Berthold, P. Berthold
IHP.390.13, DE-Patentanmeldung am
17.05.2013, AZ: DE10 2013 209 226.1
(14) Chip-Antenne, Elektronisches Bauelement
und Herstellungsverfahren dafür
R. Wang, Y. Sun, J.C. Scheytt, M. Kaynak
IHP.389.PCT-Anmeldung am 27.12.2013, AZ:
PCT / EP2013 / 077951
(8) Optische Koppelvorrichtung und
Betriebsverfahren dafür
M. Kroh, M. Jäger, D. Volkmann
IHP.399.13, DE-Patentanmeldung am
12.11.2013, AZ: 102013223034.6
(15) Chip-Antenne, Elektronisches Bauelement
und Herstellungsverfahren dafür
R. Wang, Y. Sun, J.C. Scheytt, M. Kaynak
IHP.389.13 DE-Patentanmeldung am
29.04.2013, AZ: DE 10 2013 207 829.3
(9) Schaltungsanordnung mit Detektion oder
Behandlung von transienten Fehlern in
einem kombinatorischen Schaltungsteil
M. Krstic, G. Schoof, V. Petrovic, St. Weidling,
E. Sogomonyan, M. Gössel
IHP.403.13, DE-Patentanmeldung am
05.12.2013, AZ: DE 10 2013 225 039.8
(16) Erschweren von optischem Reverse
Engineering
Ch. Wittke, F. Vater, P. Langendörfer,
O. Schrape
IHP.402.13, DE-Patentanmeldung am
26.11.2013, AZ: DE 10 2013 224 060.0
(10) Verfahren und Vorrichtung zur Herstellung
von Nanospitzen
W. Mehr, A. Wolff
IHP.395.13, DE-Patentanmeldung am
14.06.2013, AZ: DE 10 2013 211 178.9
(11) Aufweckempfängerschaltung
M. Methfessel
IHP.398.13, DE-Patentanmeldung am
14.10.2013 AZ: DE 10 2013 220 713.1
(12) Empfänger, Anordnung und Verfahren für
die Ultrabreitband-Übertragung
M. Methfessel, V. Sark, G. Fischer
IHP.384.12 DE-Patentanmeldung am
02.05.2013, AZ: 102013208080.8
(17) Vorrichtung zum Koppeln mehrerer
unterschiedlicher Fasermoden
B. Wohlfeil, L. Zimmermann
IHP.393.13, DE-Patentanmeldung am
28.06.2013, AZ: DE 10 2013 212 752.9
(18) Graphene Base Transistor and Method for
Making the Same
A. Wolff, G. Lupina, W. Mehr, Ch. Meliani,
G. Lippert, J. Dabrowski, Ch. Wenger
IHP.394.13, EP-Patentanmeldung, am
29.05.2013, AZ: EP 13169804.5
(19) Suppression of P Diffusion in Ge
by Si Spike
Y. Yamamoto, B. Tillack
IHP.365.PCT, PCT-Anmeldung am 11.02.2013,
AZ: PCT / EP2013 / 052699
(13) Verfahren zur automatischen Antennenausrichtung und Sendeleistungsregulierung und Richtfunksystem
M. Petri, M. Ehrig
IHP.391.13, DE-Patentanmeldung am
19.09.2013, AZ: 102013218862.5
An n ual
R ep ort
2013
141
Deliverables and Services
142
Annua l
Re p or t
2013
An g eb o t e
u n d
L e is t u n g e n
–
D e l iv e r a b l e s
and
S e r vic e s
Multi-Projekt-Wafer (MPW)- und
Prototyping-Service
Multi Project Wafer (MPW) and
Prototyping Service
Das IHP bietet seinen Forschungspartnern und Kunden
Zugriff auf seine leistungsfähigen SiGe-BiCMOS-Technologien und spezielle integrierte Hochfrequenzmodule.
Die Technologien sind insbesondere für Anwendungen
im oberen GHz-Bereich geeignet, so z.B. für die drahtlose
und Breitbandkommunikation, Radar, glasfasergestützte
Kommunikation und integrierte Photonik. Sie bieten integrierte HBTs mit Grenzfrequenzen bis zu 500 GHz, integrierte RF-MEMS und integrierte RF-LDMOS-Bauelemente
mit Durchbruchspannungen bis zu 22 V einschließlich
komplementärer Bauelemente.
IHP offers research partners and customers access to
its powerful SiGe BiCMOS technologies and special integrated RF modules.
The technologies are especially suited for applications in the higher GHz range (e.g. for wireless, broadband, radar, fiberoptic communication and integrated
photonics). They provide integrated HBTs with cutoff frequencies of up to 500 GHz, integrated RF-MEMS,
and integrated RF LDMOS devices with breakdown voltages up to 22 V, including complementary devices.
Verfügbar sind folgende SiGe-BiCMOS-Technologien:
The following SiGe BiCMOS technologies are available:
SG25H1: Eine 0,25-µm-BiCMOS-Technologie
mit npn-HBTs bis zu fT / fmax= 180 / 220 GHz.
SG25H1: A high-performance 0.25 µm BiCMOS with
npn-HBTs up to fT / fmax= 180 / 220 GHz.
SG25H3: Eine 0,25-µm-BiCMOS-Technologie mit
mehreren npn-HBTs, d eren Parameter von
einer hohen HF-Performance
(fT / fmax= 110 / 180 GHz) zu g rößeren
Durchbruchspannungen bis zu 7 V reichen.
SG25H3: A 0.25 µm BiCMOS with a set of npn-HBTs
ranging from a high RF performance
(fT / fmax= 110 GHz / 180 GHz) to higher
breakdown voltages up to 7 V.
SGB25V: Eine kostengünstige 0,25-µm-BiCMOSTechnologie mit mehreren npn-Transistoren
mit Durchbruchspannungen bis zu 7 V.
SGB25V: A cost-effective 0.25 µm BiCMOS with a set of
npn-HBTs up to a breakdown voltage
of 7 V.
SG13S: Eine 0,13-µm-BiCMOS-HochleistungsTechnologie mit npn-HBTs bis zu
fT / fmax= 250 / 300 GHz mit 3,3 V I / O-CMOS und 1,2 V Logik-CMOS.
SG13S: A high-performance 0.13 µm BiCMOS with
npn-HBTs up to fT / fmax= 250 / 300 GHz, with
3.3 V I / O CMOS and 1.2 V logic CMOS.
SG13G2: Eine 0,13-µm-BiCMOS-HochleistungsTechnologie mit den gleichen Bauelementen
wie SG13S, aber einer wesentlich höheren
Bipolar-Performance mit
fT / fmax = 300 / 500 GHz.
SG13G2: A 0.13 µm BiCMOS technology with the
same device portfolio as SG13S but much
higher bipolar performance with
fT / fmax = 300 / 500 GHz.
Das Backend enthält 3 (SG13: 5) dünne und 2 dicke
Metallebenen (TM1: 2 µm, TM2: 3 µm).
The backend offers 3 (SG13: 5) thin and 2 thick metal
layers (TM1: 2 µm, TM2: 3 µm).
Es finden technologische Durchläufe nach einem festen, unter www.ihp-microelectronics.com verfügbaren
Zeitplan statt.
The schedule for MPW & Prototyping runs is published
at www.ihp-microelectronics.com.
An n ual
R ep ort
2013
143
An g eb o t e
144
u n d
L e is t u n g e n
–
D e l iv e r a b l e s
and
S e r vic e s
Ein Cadence-basiertes Design-Kit für Mischsignale ist
verfügbar. Wiederverwendbare Schaltungsblöcke und
IPs des IHP für die drahtlose und Breitbandkommunikation werden zur Unterstützung Ihrer Designs angeboten.
A cadence-based mixed signal design kit is available.
For high frequency designs an analogue Design Kit in
ADS can be used. IHP’s reusable blocks and IPs are
offered to support your designs.
Zusätzliche Module sind für bestimmte
SiGe-BiCMOS-Technologien verfügbar:
The following Technology Modules are available:
GD:Zusätzliche integrierte, komplementäre
HF-LDMOS mit nLDMOS bis 22 V , pLDMOS
bis -16 V Durchbruchspannung und einem
isolierten nLDMOS.
(verfügbar in SGB25V)
GD:Additional integrated complementary
RF LDMOS devices with nLDMOS up to 22 V,
pLDMOS up to -16 V breakdown voltage
and an isolated nLDMOS device.
(available in SGB25V)
H3P: Zusätzliche pnp-HBTs mit
fT / fmax= 90/120 GHz für komplementäre
Bipolar-Anwendungen.
(verfügbar in SG25H3)
H3P:
Additional pnp-HBTs with
fT / fmax = 90 / 120 GHz for complementary
bipolar applications.
(available in SG25H3)
RF-MEMS-Schalter:
Zusätzliche kapazitive MEMS-Schalter für
Frequenzen zwischen 30 GHz und 100 GHz.
(verfügbar in SG25H1 und SG25H3)
RF-MEMS Switch:
Additional capacitive MEMS switch devices
for frequencies between 30 GHz and 100 GHz.
(available in SG25H1 and SG25H3 technology)
LBE: Das Modul für lokales Rückseitenätzen (LBE)
wird angeboten zur Verbesserung der
passiven Performance durch lokales
Entfernen von Silizium.
(verfügbar in allen Technologien).
LBE: The Localized Backside Etching (LBE)
module is offered to remove silicon locally
to improve passive performance.
(available in all technologies)
PIC:Zusätzliche photonische Designebenen
zusammen mit BiCMOS-BEOL-Ebenen auf
SOI-Wafern. (verfügbar in SG25H1 / H3)
PIC:Additional photonic design layers together
with BiCMOS BEOL layers on SOI wafers.
(available in SG25H1 / H3)
Annua l
Re p or t
2013
An g eb o t e
u n d
L e is t u n g e n
Die wesentlichen Parameter der Technologien sind:
Bipolar Section
–
D e l iv e r a b l e s
and
S e r vic e s
Technical key-parameters of the technologies are:
SG25H1 npn1npn2
AE0.21 x 0.84 µm20.18 x 0.84 µm2
Peak fmax 190 GHz220 GHz
Peak fT 190 GHz180 GHz
BVCE0 1.9 V 1.9 V
BVCBO 4.5 V 5.0 V
VA 40 V 40 V
β 270 260
SG25H3High Medium High pnp
Performance Voltage VoltageH3P Module
AE0.22 x 0.84 µm2 0.22 x 2.24 µm20.22 x 2.24 µm20.22 x 0.84 µm2
Peak fmax180 GHz140 GHz80 GHz 120 GHz
Peak fT110 GHz45 GHz25 GHz 90 GHz
BVCE0 2.3 V 5 V> 7 V - 2.5 V
BVCBO 6.0 V15.5 V21.0 V - 4.0 V
VA 30 V 30 V30 V
30 V
β 150 150150
100
SGB25VHigh StandardHigh
Performance Voltage
AE0.42 x 0.84 µm2
Peak fmax95 GHz
Peak fT75 GHz
BVCEO 2.4 V BVCBO > 7 V VA > 50 V
β 190
0.42 x 0.84 µm20.42 x 0.84 µm2
90 GHz 70 GHz
45 GHz 25 GHz
4.0 V
7.0 V
> 15 V > 20 V
> 80 V > 100 V
190
190
SG13Snpn13Pnpn13V
AE0.12 x 0.48 µm20.18 x 1.02 µm2
Peak fmax 300 GHz120 GHz
Peak fT 250 GHz45 GHz
BVCE0 1.7 V 3.7 V
BVCBO 5.0 V 15 V
β 900 600
An n ual
R ep ort
2013
145
An g eb o t e
u n d
L e is t u n g e n
–
D e l iv e r a b l e s
and
S e r vic e s
SG13G2npn13g2
AE0.07 x 0.90 µm2
Peak fmax 500 GHz
Peak fT 300 GHz
BVCE0 1.7 V
BVCBO 4.8 V
β 650
PIC Module
Waveguide (single-mode@1550 nm)
Deep etched3 dB / cm
Shallow etched1 dB / cm
Ge Photodetector (@1550 nm)
Responsivity (internal) 0.6 A / W
3 dB Bandwidth > 25 GHz
CMOS Section
SG25H1 / H3*SG13S***
Core Supply Voltage 2.5 V 3.3 V
nMOS Vth 0.6 V 0.65 V
Iout** 540 µA / µm
520 µA / µm
Ioff 3 pA / µm
10 pA / µm
pMOS Vth - 0.6 V
- 0.61 V
Iout - 230 µA / µm - 220 µA / µm
Ioff - 3 pA / µm
- 10 pA / µm
1.2 V
0.49 V
500 µA / µm
500 pA / µm
- 0.42 V
- 210 µA / µm
- 500 pA / µm
*: Parameters for SGB25V are similar
**: @VG = 2.5 V
***: Parameters for SG13G2 have to be defined
Passive Section
SG25H1 / H3SGB25V
SG13S
MIM Capacitor1 fF / µm2 1 fF / µm2 1.5 fF / µm2
N+Poly Resistor210 Ω / 2 10 Ω / +
P Poly Resistor280 Ω / 3 10 Ω / 250 Ω / High Poly Resistor 1600 Ω / 2000 Ω / 1300 Ω / Varactor Cmax / Cmin 3 tbd.
tbd.
Inductor Q@5 GHz18 (1 nH)18 (1 nH) 18 (1 nH)
Inductor Q@10 GHz20 (1 nH)20 (1 nH) 20 (1 nH)
Inductor Q@5 GHz37 (1 nH)*37 (1 nH)* 37 (1 nH)*
*: with LBE
146
Annua l
Re p or t
2013
An g eb o t e
u n d
L e is t u n g e n
–
D e l iv e r a b l e s
and
S e r vic e s
GD Module
nLDMOS pLDMOS
NLD2GD22C iNLD2GD13A ****PLD2G19B
14 V - 16 V
BVDSS* 22 V
VTH0.55 V
0.6 V - 0.5 V
IOUT**460 µA / µm 440 µA / µm- 180 µA / µm
RON4 Ωmm 4 Ωmm 15 Ωmm
Peak fmax***52 GHz 50 GHz 30 GHz
Peak fT***20 GHz 28 GHz 9 GHz
*:@ 50 pA/µm
** :@ VG= 2.5 V
***:@ VDS = 4 V
****: substrate isolated
RF-MEMS Switch Module
Actuation Voltage 25 V
Con / Coff > 10
Switch Time< 10 µs
Temperature-30…+125 °C
Isolation*< 20 dB
Insertion Loss*< 1 dB
Continuous Power Handling13 dB
*@ 60 GHz
An n ual
R ep ort
2013
147
An g eb o t e
u n d
L e is t u n g e n
Design Kits
The design kits support a Cadence mixed signal platform:
-
-
-
-
-
-
-
-
-
-
-
-
-
-
Design Framework II (Cadence 6.1)
Behavioral Modeling (Verilog HDL)
Logic Synthesis & Optimization (VHDL / HDL
Compiler, Design Compiler / Synopsys,
Power Compiler / Synopsys)
Test pattern generation / DFT Compiler
(Synopsys)
Simulation (RF: SpectreRF, Analog: SpectreS,
Cadence Incisive / Behavioral, Digital: Mentor
Graphics ModelSim)
Place & Route (Cadence EDI)
Layout (Cadence Virtuoso Editor)
Verification (Assura: DRC / LVS / Extract / Parasitic
Extraction)
ADS-support via Golden Gate / RFIC dynamic link
to Cadence is available
Standalone ADS Kit including Momentum
substrate layer file
Sonnet support for all design kits
Support of TexEDA
ECL library for SGB25V
Radiation hard CMOS library for SGB25V / SG13S.
Analog and Digital IP
Analog IP
148
–
D e l iv e r a b l e s
and
S e r vic e s
122 GHz Radar & Sensors
122 GHz CW / FMCW Radar IC
D-band on-chip antenna (with Localized Backside
Etching)
Integrated 122 GHz receiver with calibration and
digital control via SPI
245 GHz
Integrated 245 GHz transmitter and receiver
ADCs, DACs and Logic
18 GS / s 4 bit DAC with 6 GHz bandwidth
10 GS / s 6 bit DAC with offline calibration
Low speed and low power calibration DACs,
1 MS / s, 8 – 14 bit resolution,
0.2 – 1.5 mW DC power consumption
Frequency divider operating up to 40 GHz
9 GS / s (2.1 - 2.2 GHz) bandpass delta-sigma modulator
Bandpass delta-sigma modulators for class-S
amplifiers (450 MHz, 900 MHz, 2 GHz)
Frequency Synthesizers
0.6 – 4.4 GHz, 10 – 14 GHz and 20 – 24 GHz fully integrated frequency synthesizer with low phase noise
(Integer-N and DS-Fractional-N)
VCOs and integer-N PLLs for various frequencies
from 5 – 120 GHz
Low-noise SiGe LC-VCOs in the range between
10 and 120 GHz
6 GHz RF Components
6 GHz LNA with 12 dB signal enhancement
RF-VGA with 30 dB signal enhancement
Variable phase shifter (0 to 360o)
Multi-Gigabit Fiberoptical ICs
20 Gbps transimpedance amplifier with DC cancelation
6 V differential 40 Gbps MZM driver
VCSEL driver up to 40 Gbps
80 Gbps decision feedback equalizer for
fiberoptic communications
60 GHz Circuits
Complete 60 GHz transceiver and components in
SiGe BiCMOS
60 GHz TX and RX IC with phase shifter
60 GHz beamforming components and
integrated frontend
60 GHz channel sounder module
60 GHz planar PCB-integrated antenna
Impulse Radio UWB Transceiver and Components
UWB single-chip transceiver compliant to
standard IEEE 802.15.4a
Impulse UWB RF transceiver with localization
capability
UWB RF components between 6.0 and 8.5 GHz
Annua l
Re p or t
2013
An g eb o t e
u n d
L e is t u n g e n
Space Application Circuits
8 – 12 GHz delta sigma fractional-N Synthesizer
Programmable integer-N / fractional-N frequency
divider
Digital IP
Crypto Cores
IP cores for flexible AES, ECC, MD5 and SHA-1
crypto processors
–
D e l iv e r a b l e s
and
S e r vic e s
Transfer of Technologies and Technology
Modules
IHP offers its 0.25 µm BiCMOS technologies and technology modules (HBT-Modules, LDMOS-Modules) for
transfer. The technological parameters comply to a
large extent with the parameters described above for
MPW & Prototyping.
Process Module Support
Interfaces
Cardbus, GPIO, I2C slave, SPI master, SPI slave, VGA
monitor, UART, 16-bit timer
Communication Cores
60 GHz MAC processor, 60 GHz OFDM baseband processor, LDPC Co/Decoder, RS Co/Decoder, IR-UWB (IEEE
802.15.4a) baseband and front-end, Viterbi decoder,
FFT, WLAN (IEEE 802.11a) baseband processor and
MAC processor
Sensor Nodes
16-bit Crypto-microcontroller, also with 64K internal
Flash (TNode)
32-bit Crypto-microcontroller (Trusted Sensor Node)
UWB Node
Consultancy
MAC protocol design & Gigabit WLAN systems
Wireless sensor networks and applications
Fault tolerant design for space and automotive
IHP offers support for advanced process modules for
research and development purposes and small volume
prototyping.
Process modules available include:
- Standard processes (implantation, etching,
CMP & deposition of layer stacks such
as thermal SiO2 , PSG, Si3N4 , Al , TiN, W)
- Epitaxy (Si, Si:C, SiGe, SiGe:C, Ge)
- Optical lithography (i-line and 248 nm down
to 100 nm structure size)
- Short-flow processing
- Electrical characterization and testing.
Failure Mode Analysis and Diagnostics
IHP offers support for yield enhancement through failure mode analysis with state-of-the-art equipment,
including AES, AFM, FIB, SEM, SIMS, ToFSIMS and TEM.
For more information please contact:
Dr. Wolfgang Kissinger (General contact)
IHP
Im Technologiepark 25
15236 Frankfurt (Oder), Germany
Email: [email protected]
Tel: +49 335 56 25 410
Fax: +49 335 56 25 222
Dr. René Scholz (MPW & Prototyping contact)
IHP
Im Technologiepark 25
15236 Frankfurt (Oder), Germany
Email : [email protected]
Tel : +49 335 56 25 647
Fax +49 335 56 25 327
An n ual
R ep ort
2013
149
W e gb e sc h r e ib u n g
150
z um
I HP
–
Di r Ec t i o n s
to
I HP
Wegbeschreibung zum IHP
Directions to IHP
per Flugzeug
- Vom Flughafen Berlin-Tegel mit der Buslinie X9 bis
Bahnhof Berlin-Zoologischer Garten (19 Minuten);
dann mit dem RegionalExpress RE 1 bis Frankfurt
(Oder) Hauptbahnhof (ca. 1 Stunde 20 Minuten).
- Vom Flughafen Berlin-Schönefeld mit dem Airport Express oder der S-Bahnlinie S 9 bis Bahnhof Ber lin-Ostbahnhof (19 bzw. 32 Minuten); dann mit dem
RegionalExpress RE 1 bis Frankfurt (Oder) Haupt bahnhof (ca. 1 Stunde).
per Bahn
- Von den Berliner Bahnhöfen Zoologischer Garten,
Hauptbahnhof, Friedrichstraße, Alexanderplatz
oder Ostbahnhof mit dem RegionalExpress RE 1 bis
Frankfurt (Oder) Hauptbahnhof.
per Auto
- Über den Berliner Ring auf die Autobahn A 12 in Rich tung Frankfurt (Oder) / Warschau; Abfahrt Frankfurt
(Oder)-West, an der Ampel links in Richtung Beeskow
und dem Wegweiser „Technologiepark Ostbranden burg“ folgen.
per Straßenbahn in Frankfurt (Oder)
- Ab Frankfurt (Oder) Hauptbahnhof mit der Linie 3
oder 4 in Richtung Markendorf Ort bis Haltestelle
Technologiepark (14 Minuten).
by plane
- From Berlin-Tegel Airport take the bus X9 to the
railway station Berlin-Zoologischer Garten (19 mi nutes); then take the RegionalExpress RE 1 to Frank furt (Oder) Hauptbahnhof (appr. 1 hour 20 minutes).
- From Berlin-Schönefeld Airport take the Airport-
Express or the S-Bahn line S 9 to the railway
station Berlin Ostbahnhof (19 resp. 32 minutes);
then take the RegionalExpress RE 1 to
Frankfurt (Oder) Hauptbahnhof (appr. 1 hour).
by train
- Take the train RegionalExpress RE 1 from the
Berlin railway stations Zoologischer Garten,
Hauptbahnhof, Friedrichstraße, Alexanderplatz
or Ostbahnhof to Frankfurt (Oder) Hauptbahnhof.
by car
- Take the highway A 12 from Berlin in the direc tion Frankfurt (Oder) / Warschau (Warsaw); take
exit Frankfurt (Oder)-West, at the traffic lights
turn left in the direction Beeskow and follow
the signs to “Technologiepark Ostbrandenburg”.
by tram in Frankfurt (Oder)
- Take the Tram 3 or 4 from railway station Frankfurt
(Oder) Hauptbahnhof in the direction Markendorf
Ort to Technologiepark (14 minutes).
Annua l
Re p or t
2013
B i l derk l ä ru ng e n
–
P h o t o d e sc r i p t i o n s
Bilderklärungen / Photodescriptions
S. 1: Annual Report 2013
Der Eingangsbereich des IHP.
The entrance of the IHP.
S. 4: Contents
Das Institutsgebäude mit dem im Dezember eröffneten
Erweiterungsbau (Vordergrund).
The institute building with the extension building
(foreground), opened in December 2013.
S. 8.: IHP’s Research
Die vollintegrierte 245 GHz Sender- und EmpfängerTeststruktur wurde im IHP entworfen und mit dessen
SG13G2-Technologie hergestellt.
Fully integrated 245 GHz transmitter and receiver test
structure, which has been designed and fabricated in
IHP SG13G2 technology.
S. 18: Update 2013
Das Institutsgebäude mit seiner Pilotlinie
(Vordergrund).
The institute building with its pilot line (foreground).
S. 32: Selected Projects
200-mm-Silizium-Wafer mit transferiertem Graphen.
200 mm silicon wafer with transferred graphene.
S. 68: Joint Labs
Kompetenzen des Joint Labs IHP / HU Berlin.
Competences of Joint Lab IHP / HU Berlin.
S. 78: Collaboration and Partners
Das IHP und die TU Berlin organisierten „MEMSWAVE
2013“, das 14. internationale Symposium zu RF-MEMS
und RF-Microsystemen. Die Veranstaltung fand vom 1.3. Juli 2013 in Potsdam, Deutschland, statt.
IHP and TU Berlin organized MEMSWAVE 2013 - the
14th International Symposium on RF-MEMS and RFMicrosystems, Potsdam Germany (July 1-3, 2013).
S. 82: Guest Scientists and Seminars
Prof. Dr. Y. Yoshida, Shizuoka Institute of Science and
Technology, Japan, bei seinem Seminar im IHP-Vortragsraum (10. September 2013).
Prof. Y. Yoshida, Shizuoka Institute of Science and
Technology, Japan, during his seminar in the IHP auditorium (September 10, 2013).
S. 86: Publications
2013 wurden die Ergebnisse der Forschungsarbeit am
IHP in 236 Publikationen veröffentlicht.
In 2013 the results of the research work at IHP were
released in 236 publications.
S. 142: Deliverables and Services
Photoelektronenspektrometer für die Analyse von Oberflächen und die Bestimmung von Tiefenprofilen in dünnen Schichten.
Photoelectron spectrometer for surface analysis as
well as depth profiling for thin film composition.
An n ual
R ep ort
2013
151
im p r e ss u m
Herausgeber / Publisher
IHP GmbH – Innovations for High Performance
Microelectronics/Leibniz-Institut für innovative
Mikroelektronik Postadresse / Postbox
Postfach 1466 / Postbox 1466
15204 Frankfurt (Oder)
Deutschland / Germany
Besucheradresse / Address for Visitors
Im Technologiepark 25
15236 Frankfurt (Oder)
Deutschland / Germany
Telefon / Fon +49 335 56250
Telefax / Fax+49 335 5625300
[email protected]
Internetwww.ihp-microelectronics.com
152
Annua l
Re p or t
2013
–
im p r i n t
Redaktion / Editors
Dr. Wolfgang Kissinger / Heidrun Förster
Gesamtherstellung / Production in design and layout
GIRAFFE Werbeagentur
Leipziger Straße 187
15232 Frankfurt (Oder)
Telefon / Fon +49 335 6069660
Telefax / Fax+49 335 60696619
[email protected]
Internetwww.giraffe.de
Bildnachweise / Photocredits
Agentur GIRAFFE, Fotolia.de, IHP, Thomas Rosenthal,
Winfried Mausolf
IHP Annual Report 2013
IHP GmbH – Innovations
for High Performance
Microelectronics / Leibniz-Institut
für innovative Mikroelektronik
Im Technologiepark 25
15236 Frankfurt (Oder)
Germany
Telefon +49 335 5625 0
Fax +49 335 5625 300
[email protected]
www.ihp-microelectronics.com
Annual Report
2013