German Technology - Productronics 7ed

Transcription

German Technology - Productronics 7ed
VDMA
������ �����������
German Technology
����������� � ���������������
�����������
������������������
������������������
������
��������
����������
�������������
��������������
�������������������
������
������������������
���������
�������������������������
���������������� �
������������������
�� �������������
������
��������������������
��������������������������
������������������������
��������������
������
�������������������
���������
������������������
��������������� ���������
���������������������������
�� ������� ����� ����� ��� ���������
������������������
������
Productronics
������������������������������
������������������������������������
����� ���� ��� � ���� �� ��� ��� ���� ����� ���� �� �� ��
���������������������������
������
�����������
Productronics · 7th Edition
�����������������������
���������������������������
7th Edition
Dear Reader,
Could you imagine your daily life without electronics? Mobile communication, computers,
audio & video, cars, machines – electronics
have guided us into the information society
with ever growing prospects for future development. Ubiquitous computing, the networked
home, plastic electronics, biochips, electronic
dust – faster, smaller, cheaper electronics will
rise the electronics content in products even
more. Electronics are indeed a key factor for
growth, with respective societal and political
impact.
Dr. Franz Richter,
Chairman
Dr. Franz Richter is CEO of Suss
MicroTec AG, a manufacturer of test
and production equipment for the
semiconductor industry with headquarters in Garching, Germany.
Horst Lettner,
Vice Chairman
Horst Lettner is CEO and owner of
SEHO Seitz & Hohnerlein GmbH, a
manufacturer of soldering systems for
the electronic & semiconductor industries with headquarters in Kreuzwertheim, Germany.
The electronics sector grew from US$ 17 bn. in
1960 to almost US$ 1,000 bn. in 2003 which
makes it one of the fastest growing industries
world-wide. Our sector Productronics, that is
the equipment and materials makers for the
production of electronics, is an enabler for the
manufacturability of electronic goods. There
has also been considerable growth over the
years in this sector “further down the food
chain”.
However, the electronics industry is characterized by a high cyclicality – with even bigger
amplitudes in the Productronics industry – as
well as technological and product innovation
at an extremely high pace. The players act
globally. Our customers ask more and more
for systems solutions rather than individual
pieces of machinery. The systems approach
involves not only the entire process line but
also demands services for timely product support. Standardization plays a very important
role for the industry to grow, to meet the
global approach and to reduce costs. Markets
are getting narrower these days. It is wise
to diversify the product spectrum in a wider
range to spread risks.
All this forces our industry to effectively communicate, to network, to partner up in the specific sectors of the industry: to effectively talk
to researchers and technologists about roadmapping for future application needs to be
able to follow the short innovation cycles. To
get up-to-date market information to be able
to react quickly to changes. To link with related
industry associations and organisations to be
able to map activities and standards globally.
To integrate all the relevant sectors of Productronics – semiconductors, circuit boards, micro
systems, flat panel displays, data storage,
sensors, photovoltaics – with their different
dynamics to show the diverse possibilities for
business. To team up and find partners for system solutions. To facilitate sustained business
in these exciting times in this exciting industry
– this is why we founded the Productronics
platform within the German Engineering
Federation VDMA, the engineering industry’s
largest network in Europe.
The players in the Productronics sector – same
as the mechanical engineering sector as a
whole – are mainly medium-sized enterprises.
Joining forces in a group has been important
also to shape the image of our industry in politics and the public. Europe plays an important
role in the equipment and materials sector,
the production and as one of the biggest user
markets for electronics. We also work towards
a better understanding of our industry’s
needs in the European context. That’s why we
teamed up with the important European platforms SEMI Europe, JEMI France and JEMI UK.
This year VDMA-Productronics celebrates its
20th anniversary. We invite you to browse
through this brochure and learn more about
our industry. We give a short retrospection
of our history, highlight some of the latest
developments in various Productronics topics
and introduce you to our members’ skills and
competencies. Use “German Technology:
Productronics” as your profound navigator
through Germany’s Productronics industry.
Find the right partner for your business needs!
Yours faithfully,
Dr. Franz Richter,
Chairman
Horst Lettner,
Vice Chairman
5
20 Years VDMA-Productronics
A brief history
VDMA-Productronics has been established in
1983. At this time, the first PC boom had already occurred (our PCs were equipped with
286-type processors employing 120,000 transistors and we still used 5,25” floppy discs), the
CD-Player had just been introduced and the
semiconductor content in electronics products
had just risen to some 7 %. The semiconductor
industry was about to run into its next boom
phase at around 25 bn. US$ revenue with the
semiconductor equipment branch at around
4 bn. US$ turnover (cf p. 10).
ognized, on the Productronica show floor as
well as from VDMA members in this sector. So
it came quite naturally that VDMA engaged in
this area. Munich and Stuttgart were the
centers of gravity for the electronics equipment
industry in those days, so the VDMA Bavaria
regional office took the opportunity to found
the “Productronics” group in VDMA on November 9, 1983, directly at Productronica fair.
In these stormy days of the electronics development a “new breed” of mechanical engineering industry evolved, called the “specialty
engineering for Printed Circuit Boards (PCBs)”
and “precision machinery for the semiconductor technologies”. They turned from manufacturers of laboratory apparatuses into production equipment makers and industrialized the
research in this area thus enabling the mass
production of electronics products.
A few years before, in 1975, Munich Messe
established a new exhibition called “Productronica”, dedicated to this industry sector, the
equipment and materials makers for the production of electronics. At that time a rather
small event, Productronica is today the largest
fair in this industry sector world-wide.
However, back in the 80’s, Europe’s semiconductor and PCB equipment makers participated
only with 5 % in the world-wide revenue and
had to fight hard to keep their competitiveness
in spite of the US and Japanese supremacy.
Soon, the need for a joint voice representing
this particular industry in Germany was rec-
Happy birthday! The meeting of November 9, 1983 marked the starting point of the VDMA-Productronics association. Participants were: E. Bentz (Ernst Leitz GmbH), J. P. Decosterd (Balzers AG), K. H. Metz
(EKRA GmbH), H. P. Musch and J. Raschke (O.&K. Geissler GmbH), K. H. Reinwald (GMN GmbH), E. Süss
(Karl Süss KG), J. Tietze (Georg Spiess GmbH), H. Schmidt (Munich Messe) and G. Vettermann and
J. U. Fuhrmann (VDMA).
Picture: VDMA-Productronics
6
Uwe Fuhrmann “at his best” on an exhibition.
Picture: VDMA-Productronics
Drivers for this foundation were the first
members, E. Süss (Karl Süss KG, Garching),
K. H. Reinwald (GMN Georg Müller Nürnberg
GmbH), D. Schmid (Gebr. Schmid GmbH, Freudenstadt), K. H. Seibel (Arthur Pfeiffer Vakuumtechnik, Wetzlar), J. Tietze (Georg Spiess GmbH,
Gersthofen), H. P. Musch (O.&K. Geissler GmbH,
München), K. H. Metz (EKRA Eduard Kraft GmbH,
Kirchheim), J. Weng (Hartmetallwerkzeuge Andreas Mayer GmbH, Schwendi), and J. U. Fuhrmann from VDMA side who became Manager
of the group.
Thanks to the great commitment of Dr. Ekehardt Süss who was elected first chairman of
the new association, and Jens Uwe Fuhrmann
the group grew rapidly and soon became the
acknowledged representative of the branch in
Germany. After Dr. Süss’ sudden death in 1994,
Horst Lettner (SEHO Seitz & Hohnerlein GmbH,
Kreuzwertheim) became provisional chairman
in 1995 Dr. Hans Betz (STEAG AG) was elected
followed by H. Leithner in 1998, current chairman is Dr. Franz Richter (Suss MicroTec AG,
Garching) who was elected in 2003.
VDMA-Productronics developed more and more
services and promotion activities, like technology work shops, joint publications, press events
and joint international conference and exhibition participations. The numerous national pavilions especially in South-East-Asia and China
supported to the efforts of the member companies expanding to these markets.
The semiconductor equipment industry grew
rapidly and reached record 25 % growth between 1970 and 2000. The biggest boom occurred in 2000 where the world market for semiconductor equipment peaked with a revenue of
US$ 48 bn. The German turnover in semiconductor equipment was with US$ 3.5 bn. the
largest in Europe and the common revenue of
the German Productronics sector was more
than US$ 5 bn. at this time.
Europe reached 15 % market share of the
equipment world market and kept its 3rd position with double-digit share until today. This
has not always been like that. In 1989, a European support program called “Joint European
Submicron Silicon Initiative (JESSI)” was started
to change Europe’s situation suffering from
insufficient demand and offer in those days.
JESSI gave the European industry a real boost:
More than 215 equipment and materials
projects (60 % of them were German projects)
were evaluated, labelled and thereafter supported by funds of the EU and the national
authorities and brought Europe to the international state of the art. Uwe Fuhrmann was
member of the JESSI Equipment and Materials
Board on behalf of VDMA-Productronics. The
follow-up program MEDEA is sustaining JESSI’s
successful job today.
At the end of the JESSI program VDMA-Productronics teamed up with its French and UK
counterparts, JEMI France and JEMI UK, founding a common European association “Semiconductor Equipment and Materials European Association (SEMEA)” to act as a European voice
for the industry. Close collaboration to SEMI
Europe, the European office of the influential
“Semiconductor Equipment and Materials In-
The VDMA-Productronic staff today (from right to left): Jörg
Winkler, Sabine Egerer, Thilo Brodtmann (also VDMA Deputy
Executive Director) and Eric Maiser.
Picture: VDMA-Productronics
ternational” association in a “European Round
Table” increases the European visibility of this
industry.
In the run of the years the application of semiconductor equipment was broadened and
diversified and the equipment is used now
in modified versions in a variety of different
branches like flat panel displays, data storage,
microsystems, sensors, smart cards, solar technology and other fields. Moreover, techniques
from semiconductor manufacturing migrated
into the PCB and packaging industry. VDMAProductronics established in 1999 a board of
directors that represented the equipment for
all the above-mentioned different branches
with their fast growing markets. In 2000, the
VDMA-Productronics office was moved from
Munich to the headquarters of VDMA in Frankfurt to enhance member profit: the synergies
arising from the collaboration with the other
VDMA associations and the VDMA cross-sectional departments and service organizations
are obvious.
Uwe Fuhrmann retired from VDMA in 2001.
But, as you might have guessed, he is still
closely linked to the group and is coming back
to support us whenever help is needed. Thanks
Uwe, you have done a great job here!
7
������ ���� ����� ������� �����
�� ���� ���
���� ����� �������
��������
��� �� ��� ������������� �����������
�� ��� ���� ����� ������� �������� ���
�������� ���������� �� ������� ���
������� �� ��� ���� �� ��� ������
����������� ���������������
�� ����������� ������� ��� �������
��� ������� ��� �������� ����� ���
����� ������� ����������� �� ���� ���
���� ��� ����� ����������
���� ���� ����� ������ �� ������� ��
��� ���� ��� ����
�������������������
������� �������� �� ��� �������
Welcome to VDMA-Productronics
The mission of the Productronics Association
within the German Engineering Federation
VDMA is to enhance growth and development of companies active in the materials
and equipment sector for the production of
micro-electronics1. “Micro-electronics” in this
sense does not mean “chips” only, but the
entire range of components and techniques to
make up electronics products we rely on every
day such as mobile phones, digital cameras,
personal computers, TV sets or controlling
systems for power plants. So the spectrum of
devices manufactured with or on our members’ products are:
automation and handling, soldering, microassembly, all the way to design, clean room
set-up, facility management and software.
• Semiconductors and semiconductor components (Integrated Circuits, “Chips”) as well
as passive components and Surface Mount
Devices (SMDs)
• Printed Circuit Boards (PCBs), modules
(PWBs), and hybrid devices
• Multifunctional systems in microsystem
technology (MST / MEMS)
• Flat panel displays (FPDs), e. g. liquid crystal
displays (LCDs) and plasma display panels
(PDPs)
• Data storage devices, magnetic and optical
(HDD, CD, DVD)
• Sensors
• Smart cards
• Solar cells and -modules (photovoltaics).
• Dr. Franz Richter, Suss MicroTec AG
(Chairman)
• Horst Lettner, SEHO Seitz & Hohnerlein
GmbH (Vice Chairman)
• Dr. Harald Binder, M+W Zander
• Udo Hieber, Peter Wolters AG
• Roland Kappaun, Rohwedder AG
• Dr. Wolfgang Schmutz, ACR Automation in
Cleanroom GmbH
• Udo Wiggermann, Siemens Dematic AG
The large variety of high-tech electronics products rapidly developing
at a high pace requires up-to-date
machinery to be produced.
Photo: VDMA Productronics.
The members of our board of directors are in
leading positions in the various parts of the
industry:
The VDMA-Productronics secretariat is headquartered in Frankfurt am Main, Germany.
Here, VDMA-Productronics benefits from being
an integral part of the German Engineering
Federation VDMA, the engineering industry’s
largest private non-profit organization in
Europe. Synergies to the work of 38 neighboring
associations (e.g. the ones mentioned in the
box on the next page) as well as the large variety of services offered by VDMA’s cross-sectional
departments and service organizations create
value for our members and leverage the awareness of Productronics topics in the public.
What we can do for you
VDMA serving the investment goods industry as
an umbrella organisation
Founded in November 1983, the membership
base of VDMA-Productronics today is nearly
80 companies from all over the industry, representing virtually the entire process chain of
electronics production:
From substrate growing and -conditioning
through cleaning, thin film deposition and
-coating, etching, bonding, testing, packaging,
8
Our members are part of the industry enabling
electronics producers world-wide to massmanufacture and offer their high-tech products to the end-users at a reasonable price.
That means that it is in fact the Productronics
industry which is making technology affordable for everybody.
The mechanical engineering industry is the
biggest industrial sector in Germany accoun-
1
The expression “Productronics” has been derived from the
two terms Production and electronics in the late 1970’s
and has become a prominent abbreviation characterizing
this industry. Magazines and especially the largest exhibition for this sector world-wide, the “Productronica” fair
use it. For the history of VDMA-Productronics refer to the
previous chapter.
Productronics
publications, statistics program
and quick survey:
providing upto-date market
information for
timely business
decisions.
Photo: VDMA
Productronics.
ting for 15 % of Germany’s 6 million employees
in industry as a whole. The annual turnover of
€ 131 bn. makes up more than 11 % of Germany’s total industrial turnover after automotive and electronics. Export quota reaches
almost 70 % of turnover2. Thus, sustaining growth
and staying innovative in our industry plays an
important role for the German economy:
• Political networks are becoming more and
more important to represent the interests of
the branch effectively together. With VDMA’s
offices in Brussels and Berlin, the European
and the German capital, as well as in Tokyo
and in the German Federal States, VDMA
serves as the local contact to the industry
and political decision makers. Current central
issues include labour-market policy, education, tax policy, research, corporate financing, and free world trade.
Productronics within the VDMA
network of competence
The German Engineering Federation
(VDMA) is the largest European industry association with 3,000 member
companies, predominantly small and
medium-sized enterprises. VDMA represents 38 sectors throughout the entire
investment goods industry, from the
classical machinery sector to high-tech
fields like Robotics + Automation as
well as to fields with a strong impact on
the machinery sector like Software and
Industrial Communication.
• Apart from the specialized, sector-specific
topics being covered by the 38 associations
under the umbrella of VDMA, there are a
number of areas of common interest for the
entire branch. The VDMA cross-sectional
departments serve the members in the following areas:
– Markets, statistics and economic trends
embracing the data of the 38 sectors
– Exports and international trade
– Law, taxation and the labour-market
– Management and information systems
– Corporate financing
– Market communication
– E-commerce and internet portals
– Research and technology
– Training and recruitment.
An insurance agency (VSMA), a publishing
house (VDMA Verlag), a consulting organization
(MBI), a project management organization
(VFI) and several research associations complete the spectrum of services of the VDMA
umbrella organization.
Networking the productronics industry
The electronics business – same as the business for the supply industry – is a global one.
Despite the cycles, the electronics industry is
one of the fastest growing industries worldwide with an average of 10 % over a period of
2
VDMA’s member companies reach an
annual production volume of € 130
billion and employ 900,000 people.
With a share of 41 % Germany is the
leading machine supplier in the European Union.
The Productronics Association is assigned to the VDMA division “Innovative
Business”, together with the partnering
associations “German Flat Panel Display
Forum” (DFF) and “Micro Technology”, as
well as the forum “Modular Microsystems” (Match-X). These partnering associations provide sector-specific exper-
Year 2002 values.
Sources: German Federal Statistical Office and VDMA.
tise to more than 300 member companies, many of them being business partners to the Productronics sector.
VDMA is an active player within the
mechanical engineering committees of
the European Union, the Association’s
counterparts on the European level.
VDMA employs more than 400 people.
The VDMA headquarters are located in
Frankfurt, Germany, with branch offices
in Berlin, Brussels and Tokyo as well as
eight regional representative offices in
the German federal states.
9
We work together with our partners to create
awareness for the sector-specific challenges of
our industry.
10,000,000
Growth rates in the electronics “food chain“
1,000,000
Market volume in US$ Mio.
Remarkable
growth rates
characterize electronics products,
semiconductor
and capital
equipment markets. Be aware of
logarithmic scale.
Data starting
with 2003 are
forecasts.
Sources: SEMI,
VLSI, Future Horizons, VDMA
Sectoral market and technology information
100,000
Making the right decisions depends on being
well-informed. It’s all about keeping track of
today’s ever increasing information flow. VDMAProductronics provides its members with upto-date market and technology information.
10,000
1,000
100
10
1960 1965 1970 1975 1980 1985 1990 1995 2000
2005
over 40 years. As competitive pressure scales
with market size, creating the right partnerships becomes more and more important, both
between companies themselves, as well as between companies and research institutes.
With bi-annual meetings, VDMA-Productronics
supports its members with an effective networking and communication platform, fostering collaboration and promoting information
exchange among all players along the valuechain. This includes strong ties to research
organizations (like the Fraunhofer Society) and
universities as well as contacts to the customer
base, the electronics manufacturers.
Increasing your companies’ visibility in
concert with a strong group: VDMAProductronics exhibition booths as
part of a German national pavilion.
Photo: VDMA Productronics.
In our own statistics program we monitor bookings and billings of our members. Knowing the
market enables to judge developments. Quick
surveys among our members allow us to reliably track the business climate in our sector.
Our expertise arises not only from our membership, but also from close co-operations with
leading market intelligence corporations, and
our partnering international associations. Just
contact us to get valuable information easily
and quickly.
Increasing visibility of the members
VDMA-Productronics promotes the innovations
of its members through a multitude of media
outlets. This brochure being target-group specifically disseminated is just one part of it: We
arrange member contacts with the international press, trade show or conference organizers.
We represent our members at international
trade fairs and conferences. Moreover, we organize joint booths on national and international
fairs utilizing governmental fair programs
to jointly open up challenging export markets.
We promote our members also through our
internet platform.
Trade fair policy
Introducing the details of a demonstration line (set up at GlobalTronics
2002 show) to the crowd: Uwe Fuhrmann “in action”.
Photo: VDMA Productronics.
Giving the industry a voice
Apart from the overall VDMA representation,
VDMA Productronics works together with
related associations and organizations3 worldwide to help giving our industry not only a
voice nationally, but also on European and global level. We give our members access to this
network by setting up intense partnerships.
10
Trade fairs are a well-appreciated tool to exhibit your products and your competence. However, the shows need critical mass to support
your business and fulfill your expectations.
We help partnering the industry and the fair
organizers and give input to sector-specific
nomenclatures to structure fairs according to
the industry’s needs.
Curious? Don’t hesitate to ask us for details!
3
Find a list of related associations in the annex of this
brochure.
Outline of the productronics scope
In the previous chapters we introduced the
large variety of components and devices that
are being produced with the materials and
equipment provided by the Productronics industry. In this chapter we want to give a little
more insight into the different technology
aspects as well as market developments in
the sectors.
Semiconductors and semiconductor components
Semiconductors have driven the electronics
industry since the invention of the first transistor in 1947 by Bell Labs. The development has
been advanced at a tremendously high pace.
The fact that we get microchips half the size,
half the price and twice the speed approximately every 18 months is well-known under
the synonym “Moore’s law”, named after the
visionary chairman of Intel Corp., USA.
Microchips (Integrated Circuits, ICs) are fabricated in a rather complicated, multi-step
process (see box on next page) that has to
be performed in a clean room environment.
Semiconductor equipment and materials are
at the lower end of the so-called “food chain“
in the electronics industry with the electronics
products at the top, followed by the semiconductor manufacturers. The fact that on one
hand the production is very capital-intensive
– a DRAM fab costs about € 2 bn. – and setting
up new capacities also takes some time, a fast
reaction on demand changes is hardly possible.
This leads to considerable cyclical effects on
the market with a leverage effect (the so-called
“bullwhip-effect” cmp. graphs on page 12 and
13) towards the capital equipment and materials sector.
Figures in bn. US$
world-wide
977
140.7
19.8
21.4
1036 (+6%)
154.9 (+10%)
20.5 (+4%)
23
(+7%)
From materials to electronic end products: the electronics “food chain”.
Sources: SEMI, SIA, IC Insight, Future Horizons 2003.
Cutting edge microchip production: a processed 300 mm
silicon wafer. Photo: Infineon Technologies.
The centers of production are distributed worldwide. Japan today accounts for 30 %, North
America for 21 %, Europe for 16 %, and AsiaPacific/Rest of the World for 33 % of the current operational fab capacity. However, up to
2006 the capacity distribution will be largely
shifted towards the Asia-Pacific region (70 %),
especially China1. European manufacturers
were able to keep their position due to early
investments in the latest 300 mm technology.
The equipment industry plays a decisive role
here: Indeed, the high degree of process automation means that labor costs play a minor
role in the overall costs. On the other hand,
highly skilled engineers and well-educated,
trained personnel are vital to run production
effectively and dependably. In Europe you can
find both – excellent staff and state-of-the-art
machinery – that’s why the microchip industry keeps establishing their latest-technology
production sites in Europe. Working closely
together with local European suppliers, researchers and developers and benefiting also
from the good investment climate and funding from the European Commission as well as
from national and local governments, microchip manufacturers are able to compete in the
hard-fought world market.
The semiconductor capital equipment is being
manufactured mainly in Asia, the US and
Europe. Europe has maintained its position for
1
Source: SEMI, World Fab Watch, July 2003
11
World-wide
semiconductor
market: Will the
upward trend
slow down?
Source: SEMI,
Future Horizons
2003
350
Bn. US$
50
300
Change %
40
30
250
20
200
10
0
150
-10
100
-20
E
2004
F
2005
F
2006
F
2007
F
2003
2002
2001
2000
1999
1998
1997
1996
1995
1994
1993
1992
-40
1991
-30
0
1990
50
the time being, but it is forecasted that China
will take up to a 30 % share of the market here
as well. The slower than expected transition
to 300 mm wafers, the dramatic downturn in
2001 and the slow recovery of the economy
world-wide have precipitated the worst crisis in
the industry ever. However, there is light at the
end of the tunnel. In 2003 recovery will occur
and it could be a transition year for 300 mm
wafers with new prospects for the equipment
industry2. Our equipment and materials manufacturers are well prepared for this.
Printed circuit boards
PCBs are the substrates to wire and connect
electronics components to make up a module
like a graphics card or a PC motherboard.
A brief history of the development of printed
circuit boards is given on page 19. While global PCB production declined 16.4 % in 2001,
Europe lost only 13.1 % of its sales, representing the least negative result world-wide
after China (–1.1 %)3. The primary reason is
that the European manufacturers have a more
evenly distributed customer basis that is not
only focussed on the IT sector like in the U.S.
but more, for example, into the robust automotive and industrial applications.
Those European manufacturers who develop
the sequential build-up process (SBU), special
microvias, buried passive layers, RF and HF or
optoelectronics can cope more easily with the
globalization of the industry. Also, in this sector of the Productronics industry the added
Production steps
in semiconductor
manufacturing.
Adopted from
SEMI’s‚ The
making of an
integrated circuit‘
Printed circuit boards are the substrates for wiring and connecting electronics components. Photo: VDMA Productronics.
2
3
12
SEMI market forecast, June 2003
P. Bleu, R.-M. Simonnet (Pistes & Pastilles), PCB007.com,
2003
100
60
50
World-wide
PCB market:
“The 40 bn. $
industry”.
Sources: IPC,
Alcatel, VDMA
estimates 2003.
Bn. US$
80
Change %
60
40
40
20
30
0
20
-20
10
-40
0
F
F
F
2003
2004
2005
2002
2001
2000
1999
1998
1997
1996
1995
1994
1993
1992
1991
-60
1990
World-wide
semiconductor
equipment market: Cycles are
getting steeper
further down the
food chain (cmp.
grap on page 12).
Source: SEMI,
SEMI Consensus
forecast June
2003.
US$ bn.
60
Flat panel displays
Displays are the ultimate human-machine interface. Flat panel displays have taken display
technologies to a whole new level: the mobile
use. Mobile phones or laptop computers would
not have been possible without them.
The industry has seen an average 20 % annual
growth with small cycles appearing Liquid
Crystal Displays (LCD) make up 87 % of the
total market. However, there are a number
of other technologies available, for example
Plasma Display Panels (PDP).
PCB world market
50
40
30
20
10
0
1999
2000
2001
2002
2003
2004
2005
value of Europe lies first of all in its R&D capacity and the innovative small and medium sized
enterprises developing in close proximity.
Micro system technology
The fabrication of flat panel displays has many
things in common with the production of microchips. Both require a considerable amount of
investment into innovative equipment with a
very high degree of automation. However,
although a lot of flat panel display development is originating from Europe, the display
modules are almost entirely produced in Asia
with roughly equal shares for Japan, Korea and
Taiwan.
In VDMA, the German Flat Panel Display Forum
(DFF) links not only the materials and equipment makers in this industry but also includes
research institutes, producers, system integrators as well as flat panel display end users
Ink-jet heads, acceleration sensors, micro
motors for hard drives, micro-optics: for some
years now, micro technologies have no longer
been used for micro-electronics alone: besides
mechanical, fluid or optical components, also
sensor technologies undergo profound change.
Yet, the wide-ranging usage of these components and sub-systems has only just begun to
penetrate the engineering sector.
Microtechnology is a booming industry. VDMA
members took this into account by founding
the “Micro Technology” association with 60
members as of 2003. Market volume worldwide will reach well over US$ 60 bn4, not considering flat panel displays, equipment, materials and services in this sector. The center of
gravity for micro technology applications today
is around information technologies as well as
medical technology. The automotive industry
is not a heavyweight yet, but growth rates are
expected to be high, same as for telecommunication and household appliances.
Flat panel displays are not only part of mobile phones and
laptops. This could be your TV experience in the future!
Photo: IBM Germany.
4
NEXUS 2002
13
70
bn. US$
60
120%
100%
Growth
Turnover
50
80%
40
60%
30
40%
20
20%
10
0%
0
1999
2000
2001
2002
2003
2004
2005
2006
-20%
The flat panel display world market grows at an average of 20% per year making it
one of the fastest growing industries. Source: iSuppli / Stanford Resources 2003.
with a fully international membership. It has
therefore created a forum, where all players in
the field can network. DFF’s membership base
is 80 today. The Micro Technology association,
DFF and Productronics have teamed up internally in the VDMA “Innovative Business” group.
Solar technology (photovoltaics)
The direct conversion of light into electrical
energy is called the photovoltaic (PV) effect.
Solar cells are available in a multitude of technologies both for R&D and commercial use.
The most prominent technology in the market
today is based on silicon, either as mono- or
multicrystalline substrates, processed in ways
similar to the semiconductor (slicing wafers
out of a single crystal) and flat panel display
industries (depositing thin silicon layers).
1400
MWp
1200
The world-wide installation of PV cells & modules reached more than 1,300 MW in 2002,
increasing annually by 30 % – 40 %5. PV systems
will have to achieve US$ 3/W to compete with
oil and gas (US$ 5–8W now), with module
costs less than 1$/W, whereas higher cost is
acceptable in mobile and remote applications6.
However, beyond cost PV is a sustainable energy source needed to deliver environmental
friendly energy. The development is driven
strongly in Japan, Germany, The Netherlands,
France, Belgium and the USA.
Germany is – after Japan – the largest producer
of PV systems, that is 17 % of the world market
in 2002, involving approximately 30 active
companies. German producers have an overall
annual capacity of 200 MW and an annual
turnover of € 500 Mio. 300 MW PV capacity
have been installed in Germany up to today,
covering 0.03 % of the overall power consumption. The German Renewable Energy Law gives
0.50 €/kWh for PV electricity supplied with the
public grid and 0 % interest loans for capital7.
Photovoltaic Cells
Cumulative installed PV power in IEA-PVPS countries
Japan
Germany
USA
ROW
Photovoltaic cells provide a clean source of energy.
Photo: Unternehmensvereinigung Solarwirtschaft.
1000
Our members also deliver their products to the
PV industry keeping their inventory up-to-date.
With the latest equipment the German PV
industry can produce more solar modules than
installed in Germany. That means a significant
increase of their international competitiveness.
800
600
400
200
0
1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002
A booming industry: Cumulative installed PC power in countries participating in
the IEA-PVPS programme.
Source: International Energy agency, Photovoltaic Power Systems Programme, 2003.
5
6
7
14
International Energy Agency, 2003
G. Baxter, J. Veres, Avecia
German Federal Ministry of Economy and Labour
The New Research Center
Building in Nuremberg:
FAPS
Institute for
Manufacturing Automation
and Production Systems
Prof. Dr.-Ing. Klaus Feldmann
Friedrich - Alexander - University
Erlangen - Nuremberg
State of the art facilities for
Electronics Production
Electronics
Laboratory
Mechanics
Laboratory
Nordostpark 91
90411 Nuremberg
Egerlandstraße 7-9
91058 Erlangen
Telefon: (+49 911) 580 58 - 10
Telefax: (+49 911) 580 58 - 30
Telefon: (+49 9131) 85 - 27569
Telefax: (+49 9131) 30 25 28
E-Mail: [email protected]
Visit our homepage:
http://www.faps.uni-erlangen.de
Center of Excellence for
Innovative Electronics Production
New packages and interconnection structures of
highly-integrated components as well as the use of
alternative circuit substrate materials have
increasingly more influence on the manufacturing
processes in today´s electronics production. This
highly innovative field has become one of the main
areas of focus at the Institute of Manufacturing
Automation and Production Systems in Erlangen.
The laboratory is equipped with a number of
alternative systems for each step of the electronics
production process chain. This allows the system
behavior of a selected configuration to be
characterized and optimized with respect to
precision, flexibility and output.
A very promising and highly innovative strategy for
future electronic systems is the direct integration of
new product functions and electronic circuits into
one device (3D-MIDs). This can be realized by
molded interconnects, foils or insert moldings. New
manufacturing concepts are continuously being
developed for these novel integrated products. In
partnership with other institutes, Erlangen has
become a renowned center of excellence for
mechatronic components.
The overall challenge in electronics production is to
minimize both defect rates and system costs. For
this reason, process-related quality assurance is a
main area of interest within the collaborative
research center – Production Systems in
Electronics.
Dispensing technology such as an automated high
precision stencil printer is available for the
application of solder paste. For component
placement, several production lines from different
Various topics in the field of electronics production
are researched and investigated in close
cooperation with industrial partners. Technical
seminars and international conferences complete
the intensive technology transfer.
Development and optimization of processes
Placement of components on 3D-MID
manufacturers are at the institute’s disposal.
Investigations are carried out on convection and
vapor phase reflow soldering systems. In addition,
alternative connection technologies e.g. conductive
adhesives are being evaluated.
The state-of-the-art laboratory equipment for all
technological steps in electronics production and
numerous cooperation projects form the platform
for a future-oriented engineering education for the
production of electronic devices.
PC market lifts electronics forecast
Gary Grandbois, Joe D’Elia
(iSuppli Corporation)
Guiseppe (Joe) D’Elia is Director of the
Department “Research for Computer
Platforms” at iSuppli Corporation in
the UK with over 30 years of experience
in the electronics components marketplace.
Address:
Atlantic House, Imperial Way
RG2 0TD
Reading, Berkshire, UK
Phone: +44 1 18 · 9 03 65 91
Fax:
+44 1 18 · 9 03 61 00
E-Mail: [email protected]
Gary Grandbois is Principal Analyst
in the Department “Linear and Power
Management Products” at iSuppli
Corporation in the US with 25 years of
experience in application engineering,
product marketing, and as an analyst
for the semiconductor industry.
Address:
1700 East Walnut Avenue
El Segundo, CA 90245, USA
Phone: +1 3 10 · 5 24-40 00
Fax:
+1 3 10 · 5 24-40 50
E-Mail: [email protected]
iSuppli Corporation, the global leader
in electronics industry intelligence,
provides a comprehensive suite of
services for the EMS, OEMs, and supplier communities. Market Intelligence
Services form the foundation of all
iSuppli services providing critical
information designed to enrich tactical decisions and strategic plans of
electronic component suppliers and
users. iSuppli and its wholly-owned
subsidiary iSuppli/Stanford Resources
employ the world‘s largest and most
experienced staff of component,
systems, and application market analysts. More information is available at
www.isuppli.com.
Market update and outlook
After a somewhat flat first half in 2003, the
electronics market has turned more optimistic
in the second half as a third quarter resurgence in semiconductor sales has joined the
improved “back-to-school” end-equipment
sales. Some of the first half pessimism arose
from the uncertainty of the Iraq war and the
potential for a SARs outbreak. Some of these
concerns have declined.
On the equipment side PC revenue growth
is expected to increase by 11 % in 2003. Data
processing equipment, mobile communications equipment, automotive electronics and
industrial electronics are all expected to show
better than 5 % revenue growth in 2003. But
a 12 % decline in the wired communications
market continues that slide and depresses the
combined electronics equipment growth to
slightly over three percent.
Along with increased PC demand, one of the
major factors for the upward adjustment of
the iSuppli semiconductor forecast has been
the strong cellular handset market and the
furious demand for the image sensors that are
being used in the latest handsets as well as in
the growing digital camera market.
Regional growth for semiconductors in 2003
is expected to be –1 %, 10 %, 14 % and 14 %
respectively for the Americas, EMEA, Japan and
Asia-Pacific regions.
Electronic equipment
Although consumer spending on entertainment electronics, appliances and automobiles
countered the equipment market slide in
2002, most markets have shown growth in
2003 with the exception of the continuing
malaise in the wired communications mar-
Table 1: End-equipment forecast
summary
Source: iSuppli, September 2003
16
ket. Equipment growth in 2003 is being led
by PCs and mobile handsets, both of which
are expected to grow by more than 11 %. This
growth is a significant factor in driving the
nearly 10 % semiconductor revenue growth as
data processing, and mobile communication
markets together account for nearly 56 % of
semiconductor consumption.
Table 1 shows the equipment forecast summary.
In mobile communications has the CDMA handset market provided the key engine for growth
in handset shipments in 2003. A surge in mobile handset sales has grown the iSuppli shipment forecast to 480 million units. Given the
renewed upgrade handset market momentum
and the increasing average age of the installed
base of handsets, iSuppli believes that strong
market growth is a very reasonable scenario
well into 2004. This upgrade momentum will
stall by 2006, giving two years of modest unit
growth and a flattening of revenues. The mobile infrastructure market continues doubledigit revenue declines into 2003, but will return to single-digit growth in 2004.
Computer systems and peripherals growth is
being fueled by an 11 % revenue growth in PCs
for 2003 which has come from firming Average
Sales Prices (ASPs) and an expected 10 % unit
growth. Most of the other equipment types in
the data processing market support PCs and
are driven by their growth. Handheld computers, however, will continue their revenue freefall in 2003 with a 28 % revenue decline. Monitor units will grow by 16 % in 2003 but rapidly
declining ASPs will hold revenue growth flat.
Table 2: Equipment Market
Revenue Annual
Growth Forecast,
2002–2007
Source: iSuppli,
September 2003
Consumer electronics market posted a 1.5
percent growth in 2002 and will show a nearly
3 percent revenue growth in 2003. Although
TV and camcorder markets have stagnated,
video game controllers, digital cameras and
DVD players continue to provide the growth
impetus for this market. Revenue growth is
expected to reach 5 % in 2004 as consumer
confidence rebounds.
On the wired communications front continuing overcapacity in the network continues to
depress the carrier market in 2003. The carrier decline of 20 % will be marginally offset
by a modest increase in enterprise spending.
Overall 2003 will see factory revenues decline
by 12 % in the wired communications market.
A turn-around is expected in 2004, which will
result in a positive growth of nearly 7 %.
The 2003 automotive electronics will post a
6 % revenue growth as electronics content
grows and “luxury” models continue to gain
market share. The U.S. market is weaker than
the past few years as economic uncertainty
and ineffective market incentives take their
toll. The world-wide automobile market will
likely drop below 60 million units, a 1 % decline
from 2002. 2004 models will introduce new
levels of electronic features.
Industrial electronics presents a very mixed
set of markets. Medical, security and military
electronics have shown growth early in 2003.
Manufacturing equipment has moved into
positive growth as well. The industrial market
saw a revenue decline of 1 % in 2002 as capital
equipment expenditures remained soft. The
5 % revenue growth for 2003 represents a substantial improvement in this mixed sector and
the beginning of five years of solid growth.
The electronic end-equipment revenue growth
forecast is presented in table 2.
Electronic component forecast
The total component complement for electronic equipment is forecasted in table 3. Semiconductors, passive components, connectors,
rechargeable batteries (only those bundled
with portable electronic devices), displays connectors, PC boards, and the electromechanical
devices such as switches and relays are all provided with a five-year revenue growth forecast.
Continuing past trends, display devices lead
the growth, driven by the movement to flat
panel displays.
Table 3: Electronic component
forecasted annual revenue growth,
2002–2007
Source: iSuppli, September 2003
17
Semiconductors
Despite a 1 % revenue decline in the first
quarter, semiconductors will post an annual
growth of 9.8 % in 2003. Although burdened
with a slow start, the second half of 2003 will
show an 11 % growth over the first half as
many of the concerns at the beginning of 2003
(such as Iraq war, SARs, and US economy) have
lessened. Discrete devices will post the lowest
growth of the major device categories in 2003
while optical semis and sensors will show
the strongest demand. Optical semi growth
is being driven by the need for image sensors
in handsets and digital cameras; image sensor growth will exceed 60 % in 2003. It was
memory ICs, specifically DRAM, that kept the
total semiconductor growth positive in 2002,
but DRAM has slowed to single-digit growth
in 2003 as the first half of 2003 was disappointing. However, DRAM ASPs started firming
in June and with the improvement in PC and
motherboard shipments, the DRAM market
is returning to revenue growth – growth that
will be manifested in a 27 % revenue growth
in 2004.
Table 4: Semiconductor Product Forecast Summary* (revenue in millions
of dollars)
Source: iSuppli, September 2003
18
A summary of the semiconductor forecast
is presented in table 4. Despite a single digit
compound annual growth rate (CAGR) for the
coming five years, the iSuppli forecast is quite
positive in showing much stronger growth in
the coming five years than in the past five.
With the low growth of 2002 as a starting
point, along with nearly 10 % growth in 2003
and the anticipated slowing market in 2006,
the five year semiconductor forecast shows
only a single-digit, 8.8 % CAGR – this is far
below historical averages but is certainly a
stronger CAGR than seen in the recent past. A
tentative and maturing market, and the obvious absence of a “killer application” has limited
the potential growth in the near term.
The Printed Circuit Board –
yesterday, today and tomorrow
Prof. Dr.-Ing. habil. Wolfgang Scheel
(Fraunhofer IZM)
Prof. Dr.-Ing. habil. Wolfgang Scheel is
Director of the department “PCB-Packaging” at the Fraunhofer Institute for
Reliability and Microintegration (IZM),
Berlin, Germany. He is also heading the
Demonstration and Education Centre
ZVE for Joining Technologies in Oberpfaffenhofen near Munich, Germany.
Phone:
Fax:
E-Mail:
+49 (0) 30 · 4 64 03-2 70
+49 (0) 30 · 4 64 03-2 71
[email protected]
The Fraunhofer Institute for Reliability
and Microintegration (IZM) was set up
in 1993 and is today one of the leading institutes in packaging and system
integration technologies world-wide.
Fraunhofer IZM focuses on application-specific topics, such as Wireless,
Wafer level system integration, Photonic and Power Packaging, Polytronic,
Mechatronic and MEMS packaging.
Address:
Fraunhofer IZM
Gustav-Meyer-Allee 25
13355 Berlin, Germany
Internet: www.izm.fhg.de
Abstract
Today’s technical level of Printed Circuit
Assemblies (PCA) characterises the quality of
electronic systems. The driving force for the
steady improvement of PCAs in functionalism and complexity has been the continuous
innovation in the semiconductor industry.
Accordingly, different packaging generations
for devices with a broad application spectrum
are applied in PCA-production today. In order
to be able to interconnect these packaging
generations to PCAs, a continuous development of the Printed Circuit Board (PCB) design
has been necessary. Even stronger system
integration will elaborate the PCB into an active system platform in the future. Innovation
is still going strong: New technologies e. g.
micro-system technology will have to be employed to reach this goal.
1. Introduction
Despite all technological progress in semiconductor technology: the division of complex
functional units with the resulting necessity
of assembling single components on a PCB
will remain a relevant task in the future. The
single-chip solution for complex electronic
superstructures will be rather uncommon. This
paper sheds some light on the development of
the PCB from its hour of birth until today with
a projection into the future.
2. The beginnings of the PCB
The British patent No. 4681 of Mr. Albert Hanson from Berlin, Germany, filed in 1903 with
the title “Improvements in or Connected with
Electric Cables and the Joining of the seed”,
is the birth-hour of the PCB. In this patent,
Hanson, an employee of the London telephone
company at that time, recommends the replacement of single-wiring in electric installations by a printed wiring for the mechanical
mass production of flat cables using paraffinwax soaked paper as dielectric. The forerunner of a through connection for double-sided
wiring is also contained in the context.
Paul Eisler had the idea to build up the PCB
from a copper-laminated dielectric (phenolicresin molded material) in 1936 . The wiring
was realized by etching. For a double-sided
wiring structure, a through connection with a
solder lug was proposed. With this patent, the
fundamental prerequisites were formulated
for the series-production of the PCB. This PCB
structure was applied for the first time in Germany by the company Ruwel for the radio set
Metz type 409/3D. The base material was onesided copper-laminated phenolic paper by the
company ISOLA1, 2.
3. Today’s PCBs
With the availability of copper-laminated base
material, wide application areas and developments were preassigned for PCBs in electric
systems. Accordingly, already in 1957 the first
double-sided PCBs were produced in Germany,
followed by the through connected PCB in
1962 and the multilayer PCB in 1965. The companies Isola, Lackwerke Peters and Ruwel have
written product-history here. Innovation after
innovation followed world-wide. The technological possibilities for realizing PCBs today
are broadly diversified using metal-deposition,
metal-structuring and through-connecting.
The metallization of the dielectric (thermoplastic, thermosetting plastic) takes place by
cladding with a copper-foil, sputtering, direct
metallization, galvanization up to the resin
coating on the copper foil. For the structuring
of wires Photo Structuring, Laser Direct Imaging (LDI) and Laser Direct Structuring (LDS)
are employed. The through-connecting occurs
after chemical activation by means of electroless metallization, pulse plating and application of conductive pastes.
Suitable surface finishes for the assembly of
the components as well as for guaranteeing
the long term stability of the PCB in operation
have also been developed.
1
Scheel, W – Leiterplatte als High-Tech-Bauelement.
Elektronik, Heft 9/2003, S. 80–85
2
Scheel, W – Die deutschen Leiterplattenpioniere. Elektronik
9/2003 S.60/61
19
With high wiring densities, multilayer PCBs
are necessary. The detailed descriptions of the
present status of available technologies are
compiled in Jillek, Keller3 and in the European
technology- and trend report for High-Density
Interconnect (HDI) PCBs4.
4. PCBs for tomorrow
As the packaging of chips and modules
changed, further system integration occurred
and performance increase and expense reduction were demanded. The construction
of the PCB had to be altered and still has to
be pushed further to cope with future requirements: the development of our society
towards the true information society and the
technical demands related to this (Figure 1) will
be the decisive factor. HDI-PCBs with microvias
alone will no longer be capable of implementing these needs listed in the picture below.
On the other hand it is valid to determine that
the present design structures in terms of a
“passive” PCB also bear a meaning in the future since they suffice the functional requests
for many applications. In this respect, six generations of PCBs will be used in parallel in the
future (Figure 2).
5. Future PCB technology applications
Figure 1: drivers
for PCB development
The present and future functional development of the PCB for the aforementioned industries is determined by the demands of high
speed and high data rates (Figure 3).
As a matter of fact the PCB itself must be developed towards a multi-functional module, a
universal connection element. Main criteria of
this development of the PCB towards higher
complexity are the integration of passive and
active components as well as micro-system
functional structures applying function-optimized foil materials. According to this, the future multilayer PCB will be built from different
materials5.
Chip and board level continuously merge. It
must be recognized that the PCB changes its
original character in principle for a part of electronic products and is on the best way to an
intelligent module of hybrid shape with multifunctional character6–8.
20
Figure 2: Generations of PCB development
Figure 3: High-speed data rate roadmap
3
Jillek, W., Keller, G. – Handbuch der Leiterplattentechnik,
Band 4. Eugen G. Leuze Verlag, Saulgau 2003
4
Jillek, W., Keller, G. – Der europäische Technologie- und
Trendbericht 2001/2002 über Leiterplatten mit hohen
Integrationsdichten. GMM VDE/VDI-Gesellschaft
Mikroelektronik, Mikro- und Feinwerktechnik , Frankfurt
5
Jillek, W., Keller, G. – Schaltungsträger mit getrenntem
Funktionsaufbau. EP-0834242 B1, April 2002
6
Scheel, W. u. a. – Baugruppentechnologie der Elektronik
- Montage. Eugen G. Leuze Verlag, Saulgau und Verlag
Technik, Berlin. 2. Auflage 1999
7
Weber, J. – Technologie-Trends und Aktivitäten im ZVEI.
ZVEI-Podium electronica, November 2002
8
Fiehler, St. – Moderne Leiterplattentechnologien für das
Packaging. MPD-Workshop, Dresden, Februar 2003
For physical and technological reasons, the
data-transfer by electrical wiring above a databy-length factor of 2,5 Gbit/s x m is restricted.
Therefore, it becomes already apparent today
that the integration of optical function structures will become a part of the PCB. These
functional hybrid PCBs are called Electrical
Optical Circuit Board (EOCB)9. Into the portfolio of future PCB-generations, layers for the
optical signal transfer have to be integrated.
These can be realized with polymers as well
as by thin glass. The PCB manufacturers must
assume the optical technologies as one of the
big key technologies for the future.
Moreover, it is necessarily all about the orientation of the PCB under a system aspect in
the future. That necessitates the connection
of components with totally different function
principles on the PCB. Electronics and Photonics will be supplemented by micro-technical
functions. The PCB emerges into an intelligent,
multi-functional system with increasing complexity and scaling. The Fraunhofer Institute
for Reliability and Micro-integration (IZM) in
Berlin works on PCB demonstrators with such
complexity already today10.
The development of the PCB shown before
necessitates also the turn to currently not yet
used, respectively completely new technologies in the production of PCBs and PCAs. Semiconductor- and micro-system technologies are
the ones not yet used today. The new technologies will occur with nanotechnology. Already
today, electronic components and displays are
realizable with nanotubes. It is only a question
of time for industry-ready applications.
For miniaturized conventional components (for
example chiplets or micro-lenses) as well as
for new nano- and bio-components the known
placement- and interconnection techniques,
e. g. bonding or gluing, fail.
Driven by these developments the IZM –
together with the University of Marburg – has
begun to explore the application potential of
biomimetic procedures to the self-assembly of
electronic and other components on PCBs.
In the scientific project “BioMount”, promoted
by the national German Micro-System-Technology 2000+ program, the placement of
micro components will be examined on gold
surfaces by different specific activations. The
positioning and fixation for these micro- and
nano-components is based on the principle of
self-organization (self-assembly). Figure 4 illustrates the addressing schematically.
Let’s get ready for such necessary developments!
Figure 4: Possibilities for the addressing of micro-structures
(self-assembly)
6. Summary
The development of the PCB from the beginning until today with its future potential in
functionality, complexity and miniaturization shown here necessitates a fundamental
change of the PCB production chain. The PCB
cannot be neglected in electronics in the foreseeable future. For high-tech products, it will
change dramatically in its architecture by the
continuous merging of chip- and PCB level.
Therefore, a potential of technologies and a
manufacturability comparable to the one in
semiconductor technology, including microsystem technology and nanotechnology, are
indispensable in PCB- and PCA-production in
the future.
9
10
Scheel, W. u. a. – Optische Aufbau- und Verbindungstechnik in der elektronischen Baugruppenfertigung.
Verlag Dr. Markus Detert, Templin 2. Auflage 2002
Reichl, H. – Technologien der Systemintegration.
SMT-Vorpressekonferenz, München, Februar 2003
21
Wafer reclaim in semiconductor manufacturing
Jürgen Frickinger, Dr. Andreas Nutsch,
Dr. Lothar Pfitzner, Prof. Heiner Ryssel
(Fraunhofer Institute of Integrated
Systems and Device Technology)
Gerhard Zielonka (isiltec)
Juergen Frickinger is leader of the
Contamination and Materials group in
the department Semiconductor Manufacturing Equipment and Methods of
the Fraunhofer Institute of Integrated
Systems and Device Technology (IISB).
Dr. Andreas Nutsch is senior scientist
in the department Semiconductor Manufacturing Equipment and
Methods of the IISB.
Dr. Lothar Pfitzner is head of the
department Semiconductor Manufacturing Equipment and Methods of
the IISB.
Prof. Dr. Heiner Ryssel is director of
the IISB.
Fraunhofer Institute of Integrated
Systems and Device Technology (IISB)
In close co-operation with its industrial
partners, the IISB develops new processes and equipment for semiconductor processing. This includes advanced
processing steps for devices, test structures, analytical investigations, development and optimization of manufacturing equipment and materials, as
well as simulation of semiconductor
processes and devices. Furthermore,
IISB is a competence center for crystal
growth and power electronic systems.
Fraunhofer IISB
Schottkystrasse 10, 91058 Erlangen
Germany
Phone:
+49 (0) 91 31 · 7 61-0
Fax:
+49 (0) 91 31 · 7 61-3 90
E-Mail:
[email protected]
Internet: www.iisb.fraunhofer.de
Gerhard Zielonka is Director of Production and Technology of the reclaim
company Innovative Silicon Technologies GmbH (isiltec).
Innovative Silicon Technologies GmbH
isiltec is a spin-off of FraunhoferGesellschaft which offers high-quality
reclaim services for 200 mm and 300 mm
wafers world-wide. State-of-the-art
wafer reclaim technology is provided
and continuously improved by extensive applied research, development
and implementation. isiltec continuously extends its range of services by
applying improved processing techniques for the high-tech industry.
Innovative Silicon Technologies GmbH
Schottkystrasse 10, 91058 Erlangen
Germany
Phone:
+49 (0) 91 31 · 7 61-2 50
Fax:
+49 0) 91 31 · 7 61-1 56
E-mail:
[email protected]
Internet: www.isiltec.com
Introduction
Microelectronics today is driven by increasing
complexity and an ever smaller minimum
feature size of integrated circuits. While a
1 MBit DRAM was manufactured with a 1 µm
technology 10 years ago, today’s 1GBit DRAMs
are manufactured with a feature size less than
0.13 µm. This trend, however, also means increasing research budgets and world-wide
over-capacity. These are only two important
factors that demonstrate the enormous economical pressure on semiconductor manufacturers to increase yield and to save costs. And
it begins with the starting material – the silicon wafer.
The larger the wafer, the more circuits it can
accommodate and the higher the yield. For this
reason, production lines for mass-produced
integrated circuits such as processors and
memory chips are already in a transition phase
from 200 mm wafers to 300 mm wafers. More
than twice as many chips can be made from
each 300 mm wafer. But the wafer costs have
increased as well from roughly 8 percent for
200 mm wafers up to almost 30 percent for
300 mm wafers of the total costs for semiconductor devices. And less than half of the processed silicon wafers actually end up as usable
chips.
During semiconductor manufacturing up to
60 % of the wafers are used for testing, monitoring and other tasks. Thus, it is of utmost importance for 300 mm semiconductor manufacturing to reuse the wafers as often as possible,
rather than throwing them away. Due to the
different treatment in their history, wafers may
have various layer sequences or residues as
well as etching residues and device structure
residues on their surfaces prior to reclaim. In
order to comply with the specifications of IC
manufacturers for test and monitor wafers, all
layers and residues have to be removed from
the silicon surface. Global wafer geometry, local wafer topography, and the lowest possible
defect density have to be restored as well.
This article describes how current specific
polishing, etching and cleaning operations are
tailored to the case histories of wafers in a
complete reclaim process. Quality monitoring
of wafers throughout and at the end of the
reclaim sequence is explained in detail on the
basis of results from the 300 mm reclaim line
at the Fraunhofer Institute of Integrated Systems and Device Technology (IISB) in Erlangen,
Germany. Finally, current research topics and
future trends concerning improved control
mechanisms during wafer reclaim processes
are briefly illustrated.
Wafer reclaim processes
Wet chemical etching and cleaning processes
remove metals and dielectrics from the wafer
surface. These processes are very gentle to the
silicon layer underneath as they do not cause
additional sub-surface damaging. After these
wet chemical steps, however, the values for
global geometry and local topography of the
wafer surface normally differ from their predetermined specifications. The required subsequent polishing process steps are carried out
like those during wafer manufacturing. In the
double-side polishing (DSP) process the global
geometry is restored. In the single-side polishing process it is the local topography. After
polishing processes, cleaning procedures are
required. The quality of the reclaimed wafers
is guaranteed by the final cleaning. After the
complete reclaim process, the defect density
and the wafer geometry qualities of the silicon
wafer resemble at least those of a test or monitor wafer. However, the wafer has thinned a
little.
Wet processing
Wet processes are of two kinds with different
purposes: silicon wafer cleaning and etching or
removal of inorganic layers or photo resist.
Wet etch solutions are selective concerning
metallic, semi-metallic and non-metallic layers.
Metallic layers comprise e.g., Al, Ti, W, WSi and
CoSi. While Si is semi-metallic SiO2 or Si3N4 and
their hybrids are non-metallic. Table 1 gives
an overview of corresponding selective etch
solutions.
23
Etch solution
Layer
H3PO4 (85%, 150°C)
Si3N4
NH4F/HF (50%/49% – 6:1)
BPSG, SiO2
HNO3/HF/CH3COOH
(70%/49%/100% – 3:5:3)
Si
H3PO4/HNO3/CH3COOH/H2O
(85%/70%/100% – 16:1:1:2)
Al
Table 1: Common etch solutions for different layers
Etching and stripping of photoresists is carried
out using aqueous solutions with alkaline additives like sodium hydroxide or triethylamine as
well as caro’s acid or organic solvents. This includes the application of methylpyrrolidon,
glycole or hydroxylamine solutions. The recommended purity of these substances is less than
1 ppb for lithium, sodium, and potassium.
Wet chemical cleaning is used to remove particles, organic compounds and metals from silicon wafers. It is mandatory that the raw materials for the cleaning solutions have to be of
highest purity. A common standardized process is the so-called RCA-clean, a multi-step
process with pre-clean, removal of organics
and some metals, stripping of oxide-film,
desorption of remaining atomic and ionic contamination, and drying. Table 2 lists the successively used cleaning solutions. In addition,
a DI water rinse is applied between all successive steps and at the end of the sequence. The
composition of the individual solutions varies
widely in industry, however, there is a clear
trend towards the use of physical methods, like
megasonic.
1
H2SO4/H2O2
(6:1, up to 140°C)
2
H2O/NH4OH/H2O2
(5:1:1, 80°C)
3
H2O/HF
(1:10, 25°C)
4
H2O/HCl/H2O2
(5:1:1, 80°C)
Table 2: Cleaning solutions for the RCA-Clean
24
Metallic contaminants are different concerning
e.g. their affinity towards the layers on a silicon
wafer and their diffusion behavior in those layers. Their detrimental impact on integrated circuits follows different mechanisms as well.
More noble elements compared to silicon, like
copper, easily deposit from liquids onto the silicon surface and diffuse further into the bulk of
the silicon substrate. Iron and other less noble
elements are more easily dissolved in silicon
dioxide and thus more critical to this type of
layer. For every wet process, the hazard from
individual contaminants is therefore strongly
dependent on the exposed surface.
The International Technology Roadmap for
Semiconductors (ITRS) specifies the purity of
chemicals at the point-of-use in a cleaning
tool. Critical particle size is defined as half the
size of the critical feature size. Critical metals
are e.g. calcium, cobalt, copper, chromium,
iron, molybdenum, manganese, sodium, nickel
and tungsten. This requires minimizing the
contamination contribution from components
of the equipment, like pipes, valves, fittings
and pumps.
Polishing
Polishing processes are applied during semiconductor manufacturing as well as during
processing of silicon wafers. Thereby, silicon
wafers are polished either simultaneously on
both sides or on one side only. Both types of
polishing processes differ mainly in consumable materials used and in polishing parameters applied. A corresponding overview is given
in figure 1.
Double-side polishing (DSP) is currently used
only during silicon wafer production and reclaim for abrasive purposes. Wafers are fixed
between two polishing plates applying a significantly higher removal rate on both sites of
the silicon wafer compared to single-side polishing steps (Figure 1). While DSP results in a
very good global geometry, the local topography and the micro-roughness of the silicon
surface require further process steps to meet
the specifications for IC manufacturing. The
Different polishing slurries with various chemical additives are applied depending on the targeted material on the wafer surface. Polishing
slurry in general is a suspension of fumed particles or colloidal silica in water1.
Figure 1: Essential components of
the polishing processes Double
Side Polishing (DSP) and Single Side
Polishing (SSP) / Chemical Mechanical
Planarization (CMP)
Fumed particles are solids made of e. g. aluminum oxide (Al2O3), silica (SiO2), or zirconium
oxide (ZrO2). The size of these particles varies
between 50 nm and 7 µm.
DSP process also generates polishing defects,
like scratches and leaves slurry residues on the
wafer surfaces. These defects have to be removed as well by subsequent polishing and
cleaning steps.
Single-side polishing (SSP) steps are applied for
abrasive polishing, for final polishing, and for
chemical mechanical planarization (CMP) in
semiconductor manufacturing. During wafer
manufacturing and wafer reclaim these polishing steps are applied to wafer surfaces to
achieve the required surface topography and
to improve the micro-roughness.
The silicon wafer is pushed from a rotating
chuck onto a rotating polishing pad (Figure 1).
A retaining ring fixes the wafer laterally. The
backing-film on the chuck holds the wafer via
adhesion force in combination with vacuum. In
order to provide equal pressure onto the polishing pad and to guarantee homogeneous slurry
distribution and pad abrasion, the chuck carries out an oscillating movement in addition to
its rotation.
For both DSP and SSP an optimized interaction
of the polishing slurry particles and the polishing pad is essential to achieve a mechanical
removal of the wafer surface material. During
CMP chemical reactions of the polishing slurry
modify the wafer surface while simultaneously
the interaction of slurry particles and the polishing pad cause the mechanical material
abrasion.
During polishing with colloidal silica the silicon
surface is oxidized while the SiO2-particles
grow because they pick up oxide and water2.
This is the most gentle polishing process to
semiconductor surfaces and materials. In order
to avoid the agglomeration of slurry particles
in case water would separate from the surface of the slurry particles, the polishing slurry
needs to be stabilized by adjusting its pHvalue.
The most important characteristics of the polishing pad are elasticity and micro-porosity.
The micro-pores in the polishing pad distribute
the liquid slurry between the pad and the
silicon wafer while the pad-material generates
a homogeneous pressure on the surface3. Polishing pads are made for example, from polyurethane. An adhesive on its back-side fixes
the polishing pad onto the polishing plates.
Quality monitoring
Continuous quality monitoring of the wafers
and a detailed final inspection guarantee that
reclaimed wafers fulfill the specifications for
test or monitor wafers in semiconductor manufacturing. In the following a variety of measurement results is presented explaining the
1
S. H. Li, B. Tredinnick, M. Hoffmann, Chemical Mechanical
Polishing in Silicon Processing, Semiconductor and
Semimetals Vol. 63, 139, Academic Press (2000)
2
T. Woignier, J Phalippou, J. of Non-Cryst. Solids, 93, 17
(1987)
3
L. M. Cook Chemical Mechanical Polishing in Silicon
Processing, Semiconductor and Semimetals Vol. 63, 155,
Academic Press (2000)
25
most important parameters and specifications
for wafer geometry and defect density. These
examples for reclaim wafer quality monitoring
are taken from the reclaim line for 200 mm
and 300 mm wafers at the Fraunhofer Institute
of Integrated Systems and Device Technology
(IISB) in Erlangen, Germany.
Wafer geometry
A wafer’s geometry is defined by the parameters bow, warp, wafer thickness, total thickness
variation (TTV), and topography of the wafer
surface.
The best values for a global geometry of silicon
wafers are obtained immediately after the
double-side polishing process. Usually bow
and warp are in the range of 20 µm (Figure 2).
They are not influenced by the polishing process as the silicon wafers are deformed by the
polishing pressure to such an extent that these
geometry values become minimal. Wafers with
a diameter of 300 mm typically have a thickness of 750 µm to 775 µm with a TTV value of
typical
global geometry
TTV
warp
bow
Figure 2: Typical wafer geometry parameters
Figure 3: The maps of a 300 mm silicon wafer prior to (left)
and after (right) a DSP process
26
1.5 µm. These parameters are addressed by the
polishing process. Figure 3 shows an example
of the stationary thickness of a wafer with a
diameter of 300 mm prior to and after the DSP
verifying that the TTV value of the wafer was
improved considerably by the DSP process.
The topography of a silicon wafer is described
with site-flatness and nano-topology. In comparison to the global geometry the topography
dimensions are extremely small. The site-flatness means between 100 nm and 300 nm
in height variation inside an area of 25 mm ×
25 mm. The nano-topology comprises height
differences in an area of a few nm times
several mm. The topography of the wafer surface is analyzed by subtracting the global
geometry values like bow, warp, TTV and thickness from the geometry measuring data and
projecting the remaining values onto a reference level.
The small topography dimensions make it difficult to differentiate between topography and
defects like particles, scratches or haze when
characterizing the quality of a reclaimed wafer.
Typical topography defects consist of bumps
of some nm in height on the wafer surface.
Figure 4 shows the microscopic view of some
defects and the defect structure of a reclaimed
wafer with a diameter of 300 mm which did
not pass the final inspection test. The topography defects have diameters of up to several
100 µm. They are possibly caused by thickness
variations of the natural oxide on the silicon
wafers that existed prior to the polishing process. During polishing processes abrasion of silicon is faster compared to silicon dioxide. Thus,
silicon lying underneath a locally thicker oxide
is protected during polishing, while neighboring areas are already being polished.
the wafer surface appearing as pits usually
smaller than 120 nm. Due to their crystal
origin their number cannot be influenced by
the reclaim process. For evaluating the process
quality it is therefore essential that wafer surface inspection tools can differentiate between
those crystal defects and particles.
sum of all
defects: 17,000
Figure 4: Left: bright-field inspection with an optical microscope. Right: defect map of a 300 mm wafer obtained using
the optimized bright-field inspection technique. Due to
the high number of more than 17. 000 defects, the wafer
was automatically classified to re-enter the reclaim process
sequence.
The reclaim wafers are monitored by a newly
developed process control with the aid of
bright-field inspection and automatic wafer
classification. Wafers not meeting the specifications are detected very reliably and are reentered into the reclaim process.
Defect density
After the best possible wafer geometry has
been restored, the final polishing and cleaning
steps of the reclaim process remove surface
metallic contamination and particles generated by the preceding polishing processes. Surface metallic contamination measurements on
reclaimed wafers typically reveal acceptable
values below 1 × 1010 atoms/cm2. A typical
example in figure 5 demonstrates the excellent
efficiency of the final clean for surface particles larger than 160 nm. Before the final
clean more than 8000 particles were measured
and after the final clean the wafer contained
only 8 particles.
At Fraunhofer IISB, all reclaimed wafers have to
pass the final inspection with a light scattering method. The minimum detectable defect
size of the inspection tool is 60 nm. Figure 6
shows the capability of the inspection method
to differentiate between particles and COPs
for some test lots of wafers with a diameter
of 300 mm and a low particle contamination.
Thus, particle contamination is measured
almost independently from the number of
crystal defects even if this value varies between 100 and 2000 as shown in figure 6. The
average of 27 particles larger than 80 nm per
300 mm on reclaimed wafers shows the high
quality of the reclaim process.
The distribution of the frequency for the detected particles is also not affected. No interference with the background signal from the
wafer surface is detected because the haze
values of the reclaimed wafers are below
0.08 ppm. This allows the measurement of
Figure 5: The maps of a 300mm silicon wafer prior to (left)
and after (right) a cleaning process
Polishing processes as well as the final clean
are aiming at an as low as possible particle
contamination and haze. Quality monitoring
of cleaning results for particles smaller than
120 nm is challenged by crystal originated
point-defects (COPs) which can be found on
27
relative frequency [# of wafer]
0,3
Outlook
0,2
Current research activities already comprise
the use of more environmentally sound chemicals, like ozone for wafer drying processes after
cleaning. Applying more diluted chemicals and
extending the use of chemicals in baths are
two additional approaches currently under investigation to save resources and costs.
0,1
0,0
0 10 20 30 40 50 60
particle/wafer [#]
summ of all COPs: 351
73 Particles > 60 nm
27 Particles > 80 nm
Figure 6: Left: The distribution of particles larger than
80 nm is shown for test lots of reclaim wafers. Right: The
local distribution of defects on a 300 mm reclaimed wafer.
Blue spots on the surface indicate COPs. The number of
particles larger than 60 nm amounting to 73 is remarkably
low considering the high number of COPs.
defects smaller than 60 nm on the silicon wafer surface and underlines once more the excellent quality of the reclaim wafers.
In general, the quality of a reclaimed wafer can
be described that it will meet at best the specifications for the initial quality after fabrication,
but at least it meets the specifications for a
test or a monitor wafer.
28
In order to meet even the future requirements
concerning cost reduction and yield enhancement, wafer reclaiming will demand innovative
process control mechanisms, like run-to-run,
feed-forward und feedback. These mechanisms
calculate the process parameters dependent
on the case history of the wafers and the process model. In addition, in-situ control mechanisms are capable of optimizing the process
parameters while the wafers are still being
processed. At the same time, the estimated
consumable share, like for polishing pads, will
have to be controlled by end-point-detection.
Hence, sensor technology combined with control algorithms integrated in individual wafer
reclaim equipment will provide a high degree
of flexibility concerning applicable recipes and
achievable wafer qualities. Together, all these
developments will account for intelligent wafer reclaim in the future.
Trends in system integration technologies
Prof. Dr.-Ing. Dr. E.h. Herbert Reichl,
Dipl.-Ing. M. Jürgen Wolf,
Dipl.-Phys. Rolf Aschenbrenner
(Fraunhofer IZM)
Prof. Dr.-Ing. Dr. E.h. Herbert Reichl is
Director of the Fraunhofer Institute
for Reliability and Microintegration
(IZM), Berlin, and Chairman of the
board of Directors of the Fraunhofer
Microelectronics Alliance (VµE).
Phone:
Fax:
E-Mail:
+49 (0) 30 · 4 64 03-1 22,
+49 (0) 30 · 4 64 03-1 23,
[email protected]
Dipl.-Ing. M. Jürgen Wolf is Group &
Project Manager of the Department
“High Density Interconnect & Wafer
Level Packaging (HDI & WLP)” and is
involved in the development of new
system integration technologies. He
is a member of the technical working
group of the International Technology
Roadmap of Semiconductors (ITRS).
Phone:
Fax:
E-Mail:
+49 (0) 30 · 4 64 03-6 06,
+49 (0) 30 · 4 64 03-1 23,
[email protected]
Dipl.-Phys. Rolf Aschenbrenner is
Deputy Director of the Fraunhofer IZM
and head of the Department “Chip
Interconnection Technologies”.
Phone:
Fax:
E-Mail:
+49 (0) 30 · 4 64 03-1 64
+49 (0) 30 · 4 64 03-1 61
rolf.aschenbrenner@
izm.fraunhofer.de
The Fraunhofer Institute for Reliability
and Microintegration (IZM) was set up
in 1993 and is today one of the leading institutes in packaging and system
integration technologies world-wide.
Fraunhofer IZM focuses on applicationspecific topics, such as Wireless, Wafer
level system integration, Photonic
and Power Packaging, Polytronic,
Mechatronic and MEMS packaging.
Address:
Fraunhofer IZM
Gustav-Meyer-Allee 25
13355 Berlin, Germany
Internet: www.izm.fhg.de
Abstract
System integration technologies have increasingly become a vital factor in the economic
success of suppliers and users in the areas of
consumer electronics, telecommunications,
mechanical engineering and automotive industry. Faced with the rapid development of
IC technology established standard packaging
solutions will not satisfy the growing demand
in terms of increased complexity, performance
and miniaturization. The main goals for portable products, besides the improvement of
product-specific functionality, are further
miniaturization and the cutting of cost. This
requires the development of new technologies
and materials, as well as new design tools and
the integration of electrical and electromechanical simulations at the stage of the system
design. Some major aspects, challenges and
requirements of system integration technologies are discussed which will be of special
interest in the next years.
Introduction
System integration technologies represent an
integral prerequisite for the manufacturing of
new products. The idea behind system integration is to combine individual components and
subsystems into a functional electronic system
using diverse technologies, realizing minimal
size and weight at low cost.
The system handles the interaction with the
outside world: there is thus a need for nondigital technologies at least for interfacing the
system with the analog world, even though
more and more functions are implemented in
the digital domain.
The way this integration will take place depends on many different factors such as timeto-market of the product, available expertises,
development time and cost, etc. In any case,
the final product will consist of 1:
• one or many different circuits, integrating
diverse functions and technologies
• components which have to handle low voltage digital computation and some interfacing with the outside world at higher voltage at the same time
• a substrate or package which will allow the
handling of the product while protecting it
from its environment.
1
Brillouët, et al. “Heterogeneity on Si or in a Package for
Future System Innovation”, MEDEA+ Report, 2003
2
ITRS Roadmap, SIA, 2002/2003
Year of Production Technology
2003
2005
2007
2010
2013
DRAM 1/2 pitch (nm)
100
80
65
45
32
1.07
118
2.15
147
4.29
183
8.59
181
34.36
239
35
150
25
100
20
80
20
70
20
70
60
40
30
20
20
35/40
25/35
20/30
20/20
20/20
Functions/chip (Gbits)
Chip size (mm2)
Pad pitch (µm)
Ball bond
Area array flip-chip (costperformance,high-performance)
Peripheral flip-chip
(hand-held, low-cost, harsh)
Wire bond (ball/wedge)
Number of total package pins – max
Microprocessor, cost-performance
Microprocessor, high-performance
ASIC, high performance
Peripheral flip-chip
(hand-held, low-cost, harsh)
Figure 1:
Packaging
Roadmap ITRS
20032
500–1452 550–1760 600–2140 780–2782 1014–3616
1452
1760
2140
2782
3616
2057
2489
3012
4009
5335
60
40
30
20
20
Chip frequency (MHz)
Chip-to-board (off-chip) speed
3088
5173
6739
11511
19348
29
These result in two situations :
• A system where all the functions are integrated within a chip (the so-called “System on
a Chip”, (or SoC): in this case, the system will
be the combination of a very complex chip
with a more standard package.
• A system where the different functions are
implemented on many chips using different
technologies; all these pieces will be integrated in a complex package or on an
advanced substrate in order to fulfill the
system’s function: this is the so-called
“System in a Package” (SiP).
Simulation and design technology for microsystems need to be improved and integrated in
existing software in order to achieve a fast
product development. The Multi Chip Module
(MCM) and its development into complex System in a Package (SiP) solutions of maximum
functionality have introduced a new level of
assembly and bonding technology, simplifying
the realization of optimized subsystems. They
increase the system performance while simultaneously reducing cost and volume. They are
also paving the way for the integration of chips
from different production technology backgrounds. The manufacturing processes needed
for the realization of highly complex and mobile future products require the integration of
design, technology and quality. Terms like “System in a Package (SiP)” and “Wafer Level Packaging” (WLP) and “Polytronic” lead the way to a
cost-efficient complex system integration solution (Figure 2).
Market demands
Figure 2: Packaging Roadmap, IZM 2003
The processes used need to offer solutions for
the most varied areas of application. Hence
the choice of technology and material as well
as the system design determine size, weight,
performance, user-friendliness, reliability and,
finally, the price of a product and thus its market success.
The increased utilization of microtechnologies
or even microsystem components represent a
challenge for future system integration technology due to the increased functionality of products. Faced with the rapid development of individual components (Figure 1) and microtechnological subsystems, the established standard
technologies will not suffice the growing demands much longer.
This development is further enhanced by the
need to integrate non-electrical information,
such as optical, mechanical, fluidic or (bio)chemical signals.
30
Existing market uses for SiP include RF and
wireless devices, networking and computing,
optical systems, mechatronic and MEMS systems and memory applications such as smart
or flash cards. SiP technology allows multiple
advanced packaging technologies to be combined to create solutions customized to each
end application.
There are several reasons why the market
demand seems to be growing strongly for SiP
solutions. These include:
• Time to market: It is often faster to combine
ICs in an SiP than it is to implement System
on Chip (SoC) at IC level. It is also faster to
make changes to the system at the SiP level.
Designing a SoC is a complex, costly and
time consuming process.
• Size: The size of a sub-system can be reduced by integrating multiple ICs and other
components in a SiP.
• Diverse component form factors: SiPs can
carry flip chips, COB, SMT discretes, sensors
and actuators based on the sourcing needs
of the application.
• Lower system cost: Compared to discrete IC
packages an optimized SiP solution usually
results in an overall system cost reduction.
• Electrical performance: It is enhanced through
shorter and optimized interconnections between ICs in a SiP.
• Thermal management: Often, common heat
sinking approaches can be used with SiPs to
minimize the number and handling of individual thermal solutions.
System in a package
System-in-package (SiP) is a packaging concept
comprising one- or multiple-chip-solutions
which may be combined with other components such as sensors, actuators, passive components or plugs and which are generally
housed in a standardized frame. The degree of
integration reaches the point where the individual sub-functions will not be operationable
without their corresponding parts and the
overall function can only be realized as a “joint
venture” of all the partial and sub-functions involved. The integration of these components in
one single package results in a large number of
benefits. They have a much smaller, lighter and
more robust structure and can be manufactured at a lower cost.
The main idea of SiP, meanwhile, remains the
use of given standardized package geometries
and connecting terminals. This may involve
leadframe-based or area-array packages, but
also laminated elements such as smart cards
or tags.
Unlike MCMs, SiPs go beyond the mere integration of different functions: they pack them
into one frame with a standardized contact
pattern. Due to the rising lead count, the trend
is moving in direction of area-array contact
configurations. These area-array packages like
the ball-grid array (BGA) or the chip-scale
package (CSP) enable the engineers to place
the complete functionality of an entire system
on the surface of a (standardized) single-chip
frame. The passive components and ICs integrated into one system may sometimes have
differences in dimension to an extent which
makes it possible to reduce the entire system
to the area surface of its largest component.
This, however, requires 3D-integration techniques. There are some technologies which are
already partially used in the manufacturing
process:
• Subsystem-forming chips (such as processors, flash memory and SRAM) can be
stacked by chip-and-wire technology and
encapsulated in one common frame using
advanced wire bonding and encapsulating
technologies and new manufacturing techniques.
• The use of flexible substrates which allows
the execution of a planar design with existing manufacturing techniques before they
increase the degree of miniaturization by
folding.
System integration on board level
During the last decade different technologies
where components are embedded into printed
circuit boards (PCB) were developed. Recently,
certain multilayer PCBs that incorporate RCL
components (resistors, capacitors and inductors), filters and transformers have been put
into practical use in cellular phones. Advances
in the technical development in the future are
expected. In addition, not only passive devices,
but also active devices and optical components
will be embedded in organic boards. This will
open the way to three-dimensional functional
modules that represent totally packaged systems. 3, 4
Chip in polymer
The Chip in Polymer (CIP) technology offers the
way to 3D system integration by embedding
very thin components into build-up layers of
PCBs. Thin chips of about 50 µm are die bonded to a FR4 panel. They are embedded in a
3
Eur. Technologie- und Trendbericht 2001/2002 über
Leiterplatten, ZVEI, GMM
4
BMBF-Projekt: Electrical-Optical Circuit Board FKZ:
16SV797-7
31
liquid epoxy dielectric by spin coating or by a
laminate layer. Vias to the bond pads of the
chip and to the board are opened by photolithography or, in case of the laminate, by a
laser. Finally, the chips are electrically connected by fully-additive electroless Cu deposition
of conductor lines. Integrated resistors are
obtained by electroless deposition of a thin,
highly-resistive NiP layer.
CIP combines high integration density with the
advantages of established, cost-effective PCB
materials and processes. Modules with embedded components still offer the full surface for
the assembly of further surface mount components. A CIP demonstrator SMD components
assembled on top is shown in Figure 3. Over
buried chips of 10x10 mm² size different surface mount components like resistors, capacitors, LEDs but also flip chips were assembled.
Extreme thin stackable packages can be manufactured on large panels (Figure 4).
Figure 3: Test
module with conventional SMDs
mounted over
embedded chips,
IZM
Polytronic
For a long time have polymer materials been
used in packaging technology. One reason for
the success of this material class is that polymers offer very convenient mechanical and
electrical properties which can be adopted in
many ways to the requirements of electronic
systems. Together with simple coating and patterning methods like dispensing or printing,
this material class is first choice for different
applications. Up to now their usage has been
limited to passive materials like substrates, insulating layers, conductive or resistive pastes,
adhesives or protective coatings. But with the
discovery of intrinsically conductive, semiconductive and electroluminescent organic materials a new kind of active components can be
developed, which offer electronic functions devoid of anorganic semiconductors. Integrated
circuits totally made of plastic are in reach and
partly already demonstrated. Currently available semiconducting polymers cannot compete
with traditional semiconductors like crystalline
silicone. Polytronic devices neither reach electrical performance nor stability and reliability
of their anorganic counterparts. In consequence, polymer electronics can only find an
application area, where its inherent benefits –
mechanical flexibility and easy processing –
can be exploited. For these reasons polymer
electronics are most suited for totally flexible
systems where only few electronic functions
must be achieved at very low cost5, 6. In this
case, standard chip assembly, interconnection
and packaging process are already too expensive.
System integration on wafer level
Today, Wafer Level Packaging (WLP) is the most
advanced technology for IC packaging. It is
characterised by having nearly the same package footprint as the single die. Cost, size, wafer
level burn-in and testing are the driving forces
towards wafer level solutions. A WLP technology can, for the first time, maintain the cost
Figure 4: Stacked Packages with very low profile realized in Chip in Polymer
technology
32
5
Yeh, R. et.al. “Polytronik”
6
mstnews, , VDI/VDE-IT, August 2003
of the IC packaging at a constant percentage
of the total wafer cost. With prospectively decreasing chip size, the WLP technology requires
the continuous location of all package IOs
within the chip outline (it must be a fan-in
design, known as the real chip size package).
From a systems perspective, the limitation of
WLP is how many I/Os can be placed inside the
chip area and still have a board design that can
be routed.
Main driver for wafer level packaging are portable products with increased functionality and
speed like consumer products, e. g. digital and
video cameras, communication devices, e. g.
cell phone handsets or computing devices, e. g.
notebook computers and PDAs. The primary
application market for WLP technology is projected to be low to moderate I/O density applications, as typified by high yield DRAM, Flash,
Analog, EEPROM, RF and other ICs with ≤ 100
total I/O and adequate silicon area.
A key enabling technology to take full advantage of a WLP will be the development of
wafer level test and burn-in. Most WLPs with
I/O pitch equal to or greater than 0.5 mm and
with solder balls (>300 µm) do not require the
use of underfill and can therefore be directly
implemented into a standard surface mount
technology (SMT) process.
Chip scale packaging
As the development of microelectronics is still
driving towards further miniaturization, flip
chip and wafer level redistribution technology
(WL-CSP) have been widely accepted as a
means of maximum miniaturization with additional advantages. Chip scale packages (CSP)
provide a promising solution where low weight
and small size are required. These packages are
only slightly larger than the chip itself, and are
available in a variety of configurations and
material combinations. They provide potential
advantages of higher performance, higher
density, and chip shrink transparency. In the
case CSPs are designed to minimum size, a future change in chip size will require a redesign
of the PWB as well.
The packaging challenges in the RF and mixedsignal realm will become increasingly important as low-cost mobile and high bandwidth
products expand across all market segments.
The increasing performance of silicon SiGe,
and GaAs devices coupled with dramatic device cost reductions have established the need
for very low-cost, high-performance packaging.
In the RF product area frequency will shift up
to the 5 GHz range that will require improved
dielectric loss, tighter control of parasitic variability due to process variations and more precise electrical simulation capability. Flip chip
attachment to a package and embedded passives on the package will be key enabling technologies for system packaging.
Figure 5: 2x 2,4 GHz Filter as WL-Integrated Passive Device,
IZM
To fulfill the reliability demands of harsh environment applications, the use of an additional
encapsulant is crucial. This is especially true for
future applications as high temperature packages or miniaturized SIPs (system-in-package)
and MEMS, where the encapsulant is protecting the sensitive structures generated at
wafer level. To date wafer level encapsulation
generally realizes one chip packages of miniaturized outer geometries. Encapsulation functionality is mostly mechanical protection and
optical shielding of the active structures. Future development steps of wafer molding will
not only integrate single chip modules but also
multiple active devices, chip stacks, MEMS and
MOEMS. The possible development steps for
wafer level SiP encapsulation will evolve from
simple protection of the active layer against
aggressive media to functional packaging
using materials with added functionalism
allowing the integration of e.g. optical devices
as micro lenses or provide shielding and RF
functionality by integrated antennas.
33
Integration of passives
An increasing part of the subsystems, e. g. in
mobile phones or in future transducer nodes
is devoted to passive RF devices, esp. resistors,
capacitors and inductors. For all these devices
the use of the existing layers of the core CMOS
process (with the potential addition of several
technological steps at a reasonable cost) might
be an interesting alternative to the integration
of these devices on a packaging substrate since
it allows a better form factor and less SMT,
though usually at the expense of the obtained
performance.
Resistors are fairly common features in an IC,
though the electrical specifications are usually
less stringent than those of discrete devices
(accuracy, uniformity, matching, TCR, etc.).
Owing to the area needed for capacitors, the
general trend is to increase the area capacitance of the device, mimicking the previous
developments of the DRAM cell. One can think
of using standard materials (Al or poly as an
electrode and SiO2 or SiN as an insulator) in a
3D or textured integration scheme. However,
the tremendous increase of process complexity
and the restriction to low temperature processes along with the limited benefit of this
approach push to develop new materials with
a potentially better gain. The introduction of
less common materials still needs an important R&D effort. The process is complex: demanding the introduction of these materials in
an interconnect scheme brings specific constraints, e. g. thermal budget, physical and
electrical behaviors.
Obtaining an inductor with a high quality factor above an IC is quite a challenge: due to the
long wavelength of the signal at the usual frequency (few GHz), the inductor optimization
involves not only the design of the inductor
itself, but also the underlying layers including
the substrate which plays a role in the capacitive and inductive losses.
34
Technological trends in the development of
high performance inductors include:
• thick Cu with a potential routing capability
(i.e. with a tight pitch) in and on low-k insulators,
• magnetic materials to localize the magnetic
flux,
• patterned metallic layers to shield the
inductor from the underlying patterns,
• semi-insulating substrates (high resistivity
substrates – not readily available for the
higher wafer diameters –, silicon on insulating materials, porous silicon, etc.).
MEMS
The integration of RF-MEMS potentially allows
a simpler redesign of the RF subsystem. Owing
to the processing complexity of these devices,
it is unlikely for yield reason that most MEMS
devices will be integrated at wafer level: it is
thus expected that the ‘above IC’ approach will
be limited to devices whose fabrication only
needs few processing steps or mask levels.
However, an important effort can be foreseen
looking for a simplification of the process,
allowing the integration of microsystems like
micro-switches, variable capacitors and resonators, which will at the same time increase performance compared to the equivalent function
with electronic devices.
3D Integration – Vertical System Integration (VSI)
Future microelectronic applications require
significantly more complex devices: Besides
the trend towards higher integration density
there is also a demand for more functionality
and increased performance. Due to added device content, chip area will also increase. Performance, multi-functionality and reliability of
microelectronic systems will be limited mainly
by the wiring between the subsystems (socalled “wiring crisis”). This leads to a critical
performance bottleneck for future IC generations. 3D system integration creates a basis to
overcome these drawbacks. Furthermore, systems with minimum volume and weight as
well as reduced power consumption can be
scribed and evaluated elsewhere7. The InterChip Via technology provides very high density
vertical interconnects, based on tungsten or
copper filled inter-chip vias between metallization levels of thinned device wafers bonded
with polyimide as intermediate layer. The fully
modular concept allows the formation of multiple device stacks.
Summary
The integration of chip technologies and packaging for System in a Package solutions needs
developments in many directions1:
Figure 6: Contact chain with 10,000 ICVs of 2.5 x 2.5 µm2 (FIB), IZM-M
realized for portable applications. Conventional
fabrication is based on embedded technologies
which are cost intensive. 3D integrated systems show reduced chip areas and enable optimized partitioning, thus decreasing the fabrication cost of the system. An additional benefit is the enabling of minimal interconnection
lengths and the elimination of speed-limiting
inter-chip interconnects.
3D integrated systems are not a new concept
and major R&D programs were developed in
the past. However, there are recent breakthroughs in some key technological steps,
namely wafer thinning and handling of thin
wafers, wafer bonding and through-wafer vias.
A 3D-integration method, called Inter-Chip Via
technology (ICV) (Figure 6), based on thinning,
adjusted bonding and vertical inter-chip wiring
of completely processed wafers has been de-
• chip and package should be co-designed
in order to optimize the overall size, performance and cost of the system. For this
goal, extended material and process characterization will be needed in order to provide
accurate mechanical, thermal and electrical
models for this overall optimization.
– Better analysis tools have to be developed
for transient thermal effects and integrated thermo-mechanical behavior.
– Especially with the increased frequency/
current of the future systems along with
the lower voltage switching and associated noise margin, efficient electrical tools
should tackle power disturbs, signal integrity and EMI issues.
• Advanced CMOS processes will induce
specific problems in packaging owing to the
Cu/low-k interconnection system: direct wire
bonding or bumping to Cu, bump and underfill optimization for insuring low-k and interfaces integrity are key questions.
• The integration of RF components either on
a chip or in a substrate for the transducer
nodes of a distributed intelligence system
are necessary. New materials and wafer level
technologies especially for capacitors, inductors and mechanical devices (resonators,
filters, switches, etc.) are needed.
7 Ramm et.al. “3D System Integration Technologies”, MRS
2003, San Francisco, USA
35
• Regarding to the limited pitch of the PCB,
techniques for increasing the pin count for a
given foot print will be a key driver: areaarray packaging (flip chip, CSP) has thus to
be developed preferably with wafer level
techniques in order to reduce the cost per
die. In this respect:
– Fine pitch and multilayer technology will
reduce the gap between die pitch and
board pitch.
– Cost-efficient bumping will allow the
generalization of area array packaging.
Change in materials, esp. the elemination
of lead for environmental issues, is a definite challenge.
– Bumpless and thin interconnect layers e. g.
thin barrier and active solder layers, will
be required for thinned silicon devices and
flexible substrates or 3D system integration.
• The trend towards flexible portable distributed systems will result into reliable flexible
substrate materials compatible with the size
and properties of the devices to be integrated.
• Optical component integration will be a
key topic for internal communication in the
integrated system in the next generation of
system integration.
36
• In order to accommodate the cost requirement, wafer level integration will be a major
direction. However:
– Wafer-to-wafer integration is expected to
prevail for high yield processes of wafers
with similar size.
– The heterogeneity of devices to be integrated (different wafer sizes, low yield, etc.)
will push the die-to-wafer approach.
– Thinning of chips and wafers and their
handling will be key techniques for
mastering a true 3D integration.
• More pressure will be applied for a better
environmentally compatible manufacturing.
Circuit design for microsystems
Dr. Uwe Vogel, Ines Schedwill
(Fraunhofer IPMS)
Dr. Uwe Vogel is Head of the Department “Analog Components and Systems” at Fraunhofer IPMS.
Phone:
Fax:
E-Mail:
+49 (0) 351 · 88 23-2 82
+49 (0) 351 · 88 23-2 66
uwe.vogel@
ipms.fraunhofer.de
Ms. Ines Schedwill is responsible for
Marketing, Sales and Public Relations
at Fraunhofer IPMS.
Phone:
Fax:
E-Mail:
+49 (0) 351 · 88 23-2 38
+49 (0) 351 · 88 23-2 66
ines.schedwill@
ipms.fraunhofer.de
The Fraunhofer Institute for Photonic
Microsystems (IPMS) was regrouped
from the Fraunhofer Institute for
Microelectronic Circuits and Systems
(IMS) in 2003 and carries out customer
specific developments in the fields
of microelectronic and microsystems
technology in Dresden, Germany.
Approximately 150 scientists work
in the following areas: circuit design,
sensors and sensor systems, micromechanical actuators and actuator systems, light modulating micro-systems,
image processing and image transmission, organic materials and systems.
The Fraunhofer IPMS has bridged
the gap between the microelectronic
circuit design and the microsystem
technology development. CMOS technology and design strategies –(resulting from the microelectronic circuits
focus of the former Fraunhofer-IMS
location) were combined with both the
experience in mixed-signal ASIC design
for customers from SME to world-wide
leading semiconductor companies and
the Institute‘s recent knowledge in
photonic MEMS (MOEMS) and OLED/
Polymer Electronics technology development. Those microsystems include
both actuating and/or sensing devices.
The system integration aspect has gained
increasing importance in the development of
microsystems. One substantial challenge of
future microelectronics is focused on micro
electro-mechanical systems (MEMS) with
optical functionality employed for photonic
applications.
Based on devices developed at IPMS this paper
describes the important aspects of circuit design for microsystems.
These systems are commonly referred to as
MOEMS (micro-opto-electro-mechanical
systems), and consist e. g. of various types of
actuating mirrors from single-scanning-micromirrors to high-resolution micro-mirror arrays.
A different path in microsystems is the introduction of active elements based on organic
materials into semiconductor electronics,
mostly for organic light emitting diodes
(OLED), but also polymer electronics. Circuit
design tasks referring to these topics are
directed onto:
• CMOS backplane and controller design for
active-matrix control (e. g. OLED displays,
micro mirror array spatial light modulators)
• Mixed-signal CMOS ASIC design for micro
mirror control and readout (controller, driver)
• Mixed-signal CMOS ASIC design for passivematrix OLED control (controller, driver)
• High-speed I/O interface CMOS design for
high-resolution MOEMS/OLED arrays
• Circuit design for polymer electronics (based
on organic field effect transistors (OFET))
• Design support for MOEMS/OLED/polymer
electronics technology development
Figure 2: Block diagram, chip microphotograph and application of Scanning Mirror Controller ASIC SMC
Photonic actuators
Photonic actuator control circuits have to be
mostly specialized for the specific actuator.
However, some of the ASIC devices being developed may cover a much wider spectrum of
similar applications, e. g. for control of OLED
displays. Therefore, current developments such
as IPMS’ second-generation OLED controller
OC2, considered that aspect and may respectively now be regarded as application-specific
standard products (ASSP). This is supported
by several features, e. g., the control of either
Address:
Fraunhofer IPMS
Grenzstraße 28
01109 Dresden, Germany
Internet: www.ipms.fhg.de
Figure 1: First-generation passivematrix OLED controller OC1 on evaluation board with 24x32 pixel OLED display (left) and block diagram of 64x128
RGB passive-matrix OLED controller
OC2 (right)
37
Figure 3: 3D setup of wiring layers of
16x16 µm2 pixel cell of active-matrix
micro-mirror array (left) and Photograph of 512 x 2048 pixel analog
spatial light modulator ASLM1M (right)
for image generation system
monochrome, area color or full-color RGB OLED
displays, or appropriate packaging options,
e. g., chip-on-board (COB).
Active-matrix backplanes for micro-mirror
arrays or OLED displays are another important
field. Again the main focus is directed onto
complex microsystem solutions by combining
MEMS/OLED technology achievements and
CMOS experience. That means, on the same
silicon substrate (wafer) various technologies
are implemented, e. g., CMOS circuits and sensors, M(O)EMS actuators and OLED displays.
Consequently, IPMS is creating a line of OLEDon-Silicon micro-displays being compatible
o several video sources, e. g. PCs analog or
digital RGB graphic controller outputs.
Another direction is aimed at the development of micro mirror arrays for spatial light
modulators. They are used within image
generation systems, e. g. for mask exposure
in semiconductor lithography mask production or wafer direct writing, and for adaptive
optics. Currently, IPMS is providing a 1M pixel
(512 x 2048) analog spatial light modulator
array (ASLM1M) featuring a 16 x16 µm2 activematrix pixel cell. Beside the actual matrix the
necessary row and column drivers as well as
control electronics are integrated.
Figure 4: Layout examples of LVDS
driver and receiver cells (left), chip
micro-photograph of ASIC featuring
those cells (center), and eye diagram of
LVDS driver output at 1.25Gbps
38
Display controller or image generation systems
usually receive their data via serial interfaces.
With respect to array resolution (pixel count)
and frame rate high-speed interfaces have
to be employed to achieve the resulting data
rates, often to be transferred via serial cable
in an electrically noisy environment (e. g. automotive). A low-voltage differential signaling
(LVDS) interface can help to overcome those
issues. By introducing analog circuitry approaches into the design of those actually
digital LVDS cells (receiver, transmitter, support
cells) very high-data rates of up to 1.25Gbps
could be achieved in standard digital CMOS
technologies.
Sensor systems
Moreover, the circuit designers at IPMS have
gained varied experiences in the field of
mixed-signal integrated circuits for the detection and processing of sensor signals. The
Sensor-ASICs allow acquisition and customerspecific output of various physical measures,
either electrical as for example current sensors,
or non-electrical as e. g. light, temperature, acceleration, pressure, magnetic fields.
Examples of such Sensor-ASICs include:
• magnetic position detector (featuring
monolithically integrated hall line array)
• fluxgate magnetometer (featuring
monolithically integrated magnetic coils)
• programmable thermopile amplifier/signal
conditioner
• multi-field thermopile amplifier/signal
conditioner
• current sensor signal amplifier/conditioner
• programmable general sensor signal
amplifier/conditioner, e. g. for pressure
sensors
• ultra low-noise piezo-signal pre-amplifier for
hearing implants
Figure 5: Block
diagram, chip
microphotograph
and mounted
device of fluxgate
magnetometer
FGS1
Figure 6: Block
diagram and chip
microphotograph
of programmable
general sensor
signal amplifier/
conditioner PS2A,
e. g. for pressure
sensors
According to application, sensor technology
and customer requirements, the actual detector element can be integrated monolithically
together with the signal processing electronics.
Fields of application for such sensor systems
are e. g. magnet field sensors and Opto-ASICs.
Such Opto-ASICs can integrate photo detectors, signal transformation, logical elements,
non-volatile memory and interfaces on a single
silicon chip. Opto-ASICs frequently become
applied in light barriers, e. g. as wedge protector in electrical car window regulators, or exposure meters (employing detector arrays) in
photo cameras.
The user may gain several advances from
monolithical integration in contrast to discrete
or hybrid solutions, e. g. reduced dimension/
volume, higher reliability and lower costs. Due
to possible integration of storing elements
simple adaptation to various conditions becomes possible, e. g. by incorporating a learning mode into a light barrier Opto-ASIC, featuring adjustment of the internal amplification
level in accordance to the damping of the optic
channel.
Figure 7: Block
schematic and
micro-photograph of IPMS
Opto-ASIC (multifield exposure
meter)
39
Hightech Itzehoe – Hamburg Metropolitan Area
A Network of Hightech & Financing!
A world-renowned research centre with
150 skilled scientists, two internationally
owned chip fabs on site with an output of
over 500,000 wafers a year, an incubator
with more than 40 start-ups, industrial
newcomers in the neighbourhood – all
this is only 35 car minutes away from
Hamburg international airport, with
direct access to the Autobahn 23, exit
No. 8, Itzehoe Nord.
The hard facts are convincing: in 1992
the decision was made to establish
Fraunhofer ISIT, specialising in chip production, microsystems, sensorics and
actuators, packaging and testing. The
success story moved on when ISIT started operations in 1995 attracting TEMIC
Semiconductors – now VISHAY Semiconductor Itzehoe GmbH – producing more
than 300,000 PowerMOS wafers of 6 inch
size in 3,000 m2 clean room facilities
shared with Fraunhofer ISIT.
Valley, although the whole country is flat
as a pancake. The Autobahn A23 links
Hamburg via Itzehoe to the most beautiful beaches of Germany’s west-coast.
The local benefits and advantages Itzehoe offers to a newcomer industry are
much more than a healthy green landscape, best housing conditions, a 27 hole
golf course, fishing, horse-back riding,
a regional airport for aeronautic enthusiasts and picturesque windmills, namely:
Please contact us for free of charge advice in all questions of start-up or site
decision support.
We offer you 3 types of company
homes in Itzehoe:
– for starters: set up a virtual company
presence at IZET - no office space, but
full support services
– low local taxes and wages
compared to the rest of Germany
and Europe
– access to established northern
markets and political stability
– well organised infrastructure,
low energy costs, full media supply
– developed plots instantly available
at extremely favourable conditions
As early as 1993, the City of Itzehoe in
the County of Steinburg had the idea for
an incubator supporting high-tech startups in the region, co-operating closely
with Fraunhofer ISIT.
Consequently, in January 1997 IZET Innovationszentrum Itzehoe took up operations. IZET provides 3,200 m2 office space,
laboratories and production rooms. Currently it supports more than 40 start-ups
with excellent infrastructure, services,
business advice and financial concepts,
linking technology and start-up to financing sources.
– an abundant pool of scientists/
engineers in Hamburg
and Schleswig-Holstein
– for start-ups and small businesses:
rent office or laboratory space at IZET
(EUR 6.50 basic rent)
– for growth businesses: buy your own
plot in Hightech Itzehoe and become
part of our success story (EUR 23/m2)
Full support is given in all phases of company start-up and erection of
your own premises. Call us today!
The future starts here.
– 4 universities and 8 colleges within
40 miles reach
– highest dynamics and synergies through
Fraunhofer ISIT and IZET activities
– SMEs on-site providing infrastructure
and services to newcomers.
IZET Innovationszentrum Itzehoe, the
regional incubator, has a strong competence and a record of success in:
– Start-up support & financing networks
– Technology transfer & market access
The state of Schleswig-Holstein is proud
of its microelectronics cluster of Itzehoe,
sometimes also called Sensor
In short, IZET is a good practice
awarded incubator, working pro-actively
for the one-stop-support for any industrial newcomer from anywhere in the
world: We welcome you to Itzehoe!
– Marketing and sales of 23 ha of fully
developed land
IZET Innovationszentrum Itzehoe
Gesellschaft für Technologieförderung
Itzehoe mbH
Dr. Ingo Hussla, Director
Fraunhoferstrasse 3
25524 Itzehoe
Germany
Phone: +49 (0) 48 21 ∙ 7 78-6 00
Fax:
+49 (0) 48 21 ∙ 7 78-5 00
email: [email protected]
www.izet.de, www.hightech-itzehoe.de
List of companies presented
Company Profiles
Advertisements
Robert Bürkle GmbH
42
Fraunhofer Institut IISB
CGS Crystal Growing Systems GmbH
43
German Flat Panel Display Forum, DFF
contrade Microstructure Technology GmbH 44
DAS Dünnschicht Anlagen Systeme GmbH
INA-Schaeffler Group
45
DMS Dynamic Micro Systems
Semiconductor Equipment GmbH
46
E + H Eichhorn + Hausmann GmbH
47
EKRA Eduard Kraft GmbH
48
ERSA GmbH
49
F & K Delvotec Bondtechnik GmbH
50
HÜTTINGER Elektronik GmbH + Co. KG
51
INA-Schaeffler KG
52
GTL KNÖDEL GmbH
53
Lach Diamant Jakob Lach GmbH & Co. KG
54
MASCHINENFABRIK LAUFFER
GMBH + CO. KG
55
Manz Automation AG
57
Micromotion GmbH
58
M+W Zander Holding AG
56
PVA TePla AG
59
ROFIN-SINAR Laser GmbH
60
Rohwedder Microtech GmbH & Co. KG
61
Rohwedder Pematech GmbH
62
Gebr. Schmid GmbH + Co.
63
SCHNEEBERGER GmbH
64
SEHO Seitz & Hohnerlein GmbH
65
Siemens Dematic AG
66
SUSS MicroTec AG
68
TRUMPF Laser GmbH + Co. KG
70
TRUMPF Laser Marking Systems AG
71
Viscom AG
72
WIKA Alexander Wiegand GmbH & Co. KG
73
Peter Wolters Surface Technologies
GmbH & Co. KG
74
22
4
Front cover
inside
Innovationszentrum Itzehoe – IZET
40
Institute for Manucfacturing Automation
and Production Systems, FAPS
15
Messe München GmbH
Micro Technology
Nürnberg Messe GmbH
SMT HYBRID PACKAGING
Back cover
75
Back cover
inside
2
41
Robert Bürkle
Robert Bürkle GmbH
Stuttgarter Strasse 123
72250 Freudenstadt
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 74 41 · 58-0
+49 (0) 74 41 · 78 13
[email protected]
www.buerkle-gmbh.de
Company Profile:
Managing Directors:
Hans-Joachim Bender, Werner Krautt,
Ralf Spindler
Founded: 1920
Personnel: 430
Production area: 19.000 m2
Locations: Germany, USA, Asia
Sales in 2002: approx. € 68,5 million
Headquarters: Freudenstadt
Contact for Sales: Michael van Loo
Sales: world-wide via agencies
(see www.buerkle-gmbh.de) and two
main branches in the USA and Asia
www.buerkle-gmbh.de
The headquarters of the company Robert Bürkle
GmbH founded in 1920 is in the Northern Black
Forest in the southwest of Germany. With
a staff of 430 Bürkle is a future-oriented company. Its machines and plants are known
wherever printed circuit boards and plastic
cards are produced. The world-wide most renowned plastic card manufacturers use Bürkle
press lines. Bürkle supplies complex high-tech
presses and coating lines for the printed circuit
board industry.
The range of capacity comprises designing,
planning, manufacturing and commissioning
from the individual machine to the complete
system solution. Bürkle gains from its many
years of experience with customers at home
and abroad. The modular design allows custommade solutions, considering in particular costsaving automation as well as improved quality
of the final product and of the production process at the users.
Outstandingly high investments for research
and development and the high technological
standard of the products made Bürkle known
rapidly beyond the borders of Germany. Nowadays over 80% of the Bürkle plants are exported
to countries all over the world.
Bürkle is one of the technological leaders on the
world market in the field of press and surface –
finishing technique. We progressed from a well
known machine manufacturer to a system
supplier. Today we offer know-how from the
planning and engineering to the manufacturing of complete production systems. The power
of innovation and quality form the basis of the
great success on the market: That is the reason
why the Robert Bürkle GmbH is one of the 100
most innovative companies in Germany listed
in “Top 100 – The innovative Mittelstand Germany”, 2000, 2001, 2002 and 2003.
Bürkle –
world-wide leader
of lamination systems for the plastic
card industry
42
DRC Double-sided Roller Coating System for innerlayer
coating with liquid photoresist
Product Range
Multilayer press lines for printed circuit boards
• Multilayer presses designed as a Hot/
Cold Press with/without vacuum
• Multilayer presses designed as Hot Transfer
Presses with/without vacuum
• Laboratory Presses
• Flow Test Presses
• Handling Equipment
• Transport Tracks
• Storage Systems
Technologies for surface treatment
• Double-sided roller coating system for
wet-resist and thin multilayer inner layers
• Roller Coating Machines for liquid application
of photoresist, protective and solder resist
• Curtain Coating Machines for liquid
application of protective and solder resist,
bonding agent and adhesive
Lamination Systems for Plastic Cards
• High-performance press system for the production of conventional plastic cards, contact
cards, contactless cards and combi cards
Over the past several years Bürkle has developed new technologies and equipment for the
plastic card lamination industry which has
helped us to become the world-wide leader of
lamination systems for the plastic card industry.
Bürkle Multilayer Lamination
Systems – No. 1 in the world
CGS Crystal Growing Systems
www.cgs-gmbh.de
Crystal Growing Systems GmbH (CGS) – the division for manufacturing crystal growing equipment within the PVA TePla Group – was established in August 1999 in Hanau emerging from
the Crystal Growing division of Leybold Systems
GmbH.
For decades, CGS has already been designing
and producing crystal growing equipment for
the production of silicon and other semi-conducting crystals, and as a technologically leading producer is CGS one of the most important
in its field world-wide.
Core business in crystal pulling equipment for
wafer manufacturers
Extremely pure silicon rods and wafers with
perfect structure are the starting point for a
long value-added chain, without which our
world of micro- and optoelectronics, information and communication technologies and
photovoltaics would no longer be conceivable.
To produce silicon rods meeting such high quality specifications, it is necessary to have reliable
crystal pulling equipment featuring sophisticated process technology and a high degree of
automation, such as those that have been designed and built by CGS for its customers for
decades now.
In addition to furnaces which produce standard-diameter rods, the Crystal Growing Systems
division has also supplied 300 mm crystal pulling equipment for several years now.
their efficiency in interacting with light is greater
by about three orders of magnitude. Furthermore, they possess greater electron mobility,
thus enabling the construction of transistors
with high cut-off frequencies.
Such compound semiconductors are therefore
predestined for use in mobile wireless communication (e. g. UMTS networks), for fibre-optic
networks, high brightness diodes and laser TV.
The Czochralski process, which currently
achieves the best results of all known processes
in crystal growing using Silicon as raw material,
is less suitable for the starting materials of
compound semiconductors despite being so
frequently used for the time being.
With the development of a high-pressure VGF
(Vertical Gradient Freeze) equipment, CGS has
designed and manufactured the first commercially available equipment for growing com-
be equipped for tomorrows’ materials
CGS Crystal Growing
Systems GmbH
Wilhelm-Rohn-Strasse 25
63450 Hanau
Germany
Phone:
Fax:
E-Mail:
+49 (0) 61 81 · 93 36-0
+49 (0) 61 81 · 93 36-1 00
information@
cgs-gmbh.de
Internet: www.cgs-gmbh.de
ISO 9001:2000 certified
pound semiconductor crystals.
EKZ 3000
Batch fill:
up to 450 kg
Crystal lift:
4,780 mm
Vessel diameter:
1,250 mm
Overall height:
13,700 mm
Demand for solar silicon continues to rise
Silicon is also the basic material used by the
photovoltaics industry – a field in which global
production capacities for solar cells and modules is currently being ramped up. A decisive
role is played here by the CGS crystal pulling
equipment in a major project in Thuringia, Germany’s ‘Solar Valley’.
New technology for compound semiconductor
crystals
In today’s fast-developing information society,
methods for rapid, broadband data transmission are gaining constantly in importance.
For optoelectronic and high-frequency applications, increasing use is being made of low-dislocation compound semiconductors.
The basic materials most frequently used for
the latter, galliumarsenide (GaAs) and indiumphosphide (InP), differ from silicon (Si) in that
Czochralski
Process /
CZ-process
SiGe Epitaxy, a pioneering new approach
SiGe technology is of fundamental importance
for high-speed data transmission in satellites,
wireless local networks and mobile wireless
systems with signal frequencies of up to 100
GHz and more. SiGe circuits can be integrated
together with conventional CMOS circuits on
the same Si substrate. They can be produced
with existing production lines, avoiding costly
new investments.
The high integration density of SiGe enables
one-chip solutions for entire systems (“Systemon-Chip”). With a Low Pressure Chemical Vapor
Deposition Reactor (LPCVD) CGS offers a high
capacity epitaxy batch furnace that meets all
requirements for precise film thickness, composition of film and doping profile for up to 100
wafers of 200 mm or 300 mm diameter.
43
contrade Microstructure Technology
www.contrade.com
Company profile
contrade
Microstructure
Technology GmbH
Im Hasenlauf 2
75446 Wiernsheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 70 41 · 96 00-0
+49 (0) 70 41 · 96 00-27
[email protected]
www.contrade.com
Process Technology
• Brush cleaning with different
programmable process parameters
• Megasonic cleaning with linear transducer
• Jet-Steam® cleaning for non-contact
processing of wafers with high
efficiency
• STR-Spin® drying with IPA supported
surface tension reduction
• VertiChem® chemical cleaning for
single substrates in closed process
chamber
• CMC® mechanical and chemical
cleaning for single wafer processing
Product Range
• Corwet® Single Wafer Cleaner for
100 mm up to 300 mm wafers with
horizontal wafer transport and
processing
• VertiClean® Single Mask Tank
Cleaner for photomasks up to 9
inch size
• OEM modules for integration into
CMP cluster tools of leading polisher
manufacturers
• Vergine® Single Wafer Cleaner
for 200 mm and 300 mm wafers
with vertical wafer transport and
processing
• Vergine® CMC Single Wafer Cleaner
for 300 mm wafers with chemical
and mechanical cleaning
With more than 30 years of experience in design and manufacturing of tools for the semiconductor industry, Contrade is providing single
substrate processing equipment for wafers and
photomasks. The objective is to provide stateof-the-art stand-alone systems as well as OEM
wafer processing modules for integration into
process clusters. For OEM business Contrade has
formed strategic partnerships with semiconductor equipment manufacturers world-wide.
Corwet single wafer spin cleaner
One of Contrade’s core competences is single
wafer cleaning equipment for post-CMP cleaning and other applications. The Corwet® system
features horizontal transport and spin processing for various wafer sizes:
• Corwet 300 for 300 and 200 mm wafers
• Corwet 200 for 200, 150 and 100 mm wafers
• Corwet 100 for 100 mm, 3" and 2" wafers
The tool features double-sided brushes, ammonia/diluted acid spray and megasonic cleaning
combined with STR-Spin® drying technology for
superior process results. Due to a flexible modular design, the cleaning techniques can be customized for individual applications. For removal
of larger particles in addition the innovative
Jet-Steam® cleaning technology is available.
The unique concept of a patented dual rotational chuck allows simultaneous application of
all cleaning techniques for the top and backside
of the wafer with highest throughput and best
cost-of-ownership.
The Corwet system is available as integration
module for CMP clusters as well as stand-alone
system with robotic handling for cassette-tocassette with SMIF/FOUP input/output stations
and for manual operation. The tool is controlled
by a reliable programable controller (PLC-S7)
with an easy to use graphical user interface.
VertiClean single substrate tank processor
The VertiClean® is a single substrate immersion
system with customized process tanks made of
PVDF or quartz. The tool is designed with a
modular system architecture for flexible process flow to be used for
• Quartz etching with HF- or BHF
• EUV mask cleaning with SPM, SOM, SC1 and
other chemicals
Its chemical supply and distribution system is
compatible with all process media and future to
be used chemicals. The tool is prepared for
integration of new, to be developed cleaning
techniques and enables the combination of
various processes into a cluster configuration
with SMIF- input/output stations.
The concept of the VertiClean can be expanded
into a single wafer immersion surface preparation tool for 300 mm wafers and beyond
technology.
Corwet® stand-alone cleaner for
100 mm up to 300 mm wafers
VertiClean single substrate immersion
tank processor
44
DAS Dünnschicht Anlagen Systeme
www.das-europe.com
DAS GmbH DRESDEN – ENVIRONMENTAL EXPERT & WASTE GAS ABATEMENT SPECIALIST
Products and Services
ESCAPEINLINE®- Environmentally Safe Cleaning
And Protecting Equipment
System for Point-of-Use (PoU) abatement of all
semiconductor manufacturing waste gases,
which are environmentally harmful, toxic and
contaminated. Combination of incineration,
scrubbing and monitoring in a single unit.
DAS Dünnschicht
Anlagen Systeme
Gostritzer Strasse 61–63
01217 Dresden
Germany
ESCAPETWIN
Phone:
Fax:
E-Mail:
Internet:
Waste gas abatement system including a second totally independent ESCAPE system for
back-up within one cabinet.
+49 (0) 3 51 · 8 71-86 88
+49 (0) 3 51 · 8 71-87 26
[email protected]
www.das-europe.com
History:
Founded 1991 by Dr. Horst Reichardt
and Dipl.-Ing. Lothar Ritter
ESCAPEECO
Cost saving design of waste gas abatement
system for flammable and CVD gases
We are a successful company with
50 employees and headquarters in
Dresden.
AQUABATE
Compact wet scrubbers designed to scrub
waste gases with water or reactive chemicals.
ESCAPE NET®
Software for centralized monitoring and control
from one workstation for operation, maintenance and cost management of networked
ESCAPE systems
Objectives:
Development, distribution and service
of waste gas abatement solutions
for semiconductor manufacturers,
customized to process requirements
with complete customer support.
The DAS advantage is the superior design of our abatement systems based
on in-depth understanding of semiconductor manufacturing processes.
Our service philosophy aims at the customer’s full satisfaction with the DAS
equipment during its lifetime.
The quality and experience offered by
DAS is proven in Europe’s first 300 mm
Infineon production line in Dresden
and other leading 300 mm fabs in Asia.
More than 800 systems have been
installed world-wide.
Contact Sales Department:
Mr. Günter von Ruthendorf-Przewoski
Contact Service Department:
Mr. Helge Borchers
DAS Partner in:
China, Beijing
Phone: +86.10.8453.7916
China, Shanghai
Phone: +86.21.5080.6321
ESCAPEVAS
Innovative installation of a DAS abatement system right after the vacuum pump. The shortest
possible connection between vacuum system
and abatement system ensures higher up-time
of the process equipment, e. g. for metal etch
and tungsten deposition processes.
DAS-Customer Services
• Expert technical consultation and cost-ofownership analysis
• Installation and start-up support
• On-site training of operators/maintenance
personnel
• Customized service contracts
• 24hr / 7days customer service
• Efficiency measurements and emission monitoring by accredited independent institutes
Japan
Phone:
+81.4.4246.7350
Korea
Phone:
+82.41.584.8482
Malaysia
Phone: +60.82.363.093
Singapore
Phone: +65.6266.5288
Taiwan
Phone:
+886.3.535.6331
USA
Phone:
+1.831.426.9942
45
DMS Dynamic Micro Systems Semiconductor Equipment
www.dms-semi.de
DYNAMIC SOLUTIONS –
THE LINK TO BRIDGING CONCEPTS
Centrifugal force
cleaner
Our Products
DMS
Dynamic Micro Systems
Semiconductor
Equipment GmbH
Im Wiesengrund 17
78315 Radolfzell
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 77 32 · 94 09-0
+49 (0) 77 32 · 94 09-2 00
[email protected]
www.dms-semi.de
• Reticle, Bare Reticle, Single Wafer Carousel
Storage Systems –
Semi-automatic, automatic – for masks /
reticle boxes, wafer cassettes, SMIF pods,
wafer up to 300mm
• Centrifugal Force Cleaning System –
Semi-automatic, automatic – for FOUPS /
FOSBs up to 300 mm wafer, wafer carriers /
boxes, SMIF Pods and cassettes, reticle
shipping / storage boxes etc. – Insert Concept
• Reticle Changer –
automatic changer/sorter with inspection
station
• Pellicle Remover – automatic
• Wafer Inspection System –
automatic inspection station up to 300 mm
• Single Wafer Compact for 200 mm Wafers –
shipper, ESD proof
• Ozone Cleaning Processing Equipment
• IR Drying Chambers
• In Line Pure Quartz Heating Units
• Bare Reticle Barcode Reader + Printer,
RF ID Tag Systems
• Reticle / Mask Storage and shipping Boxes:
static free
• Wafer Carriers and Boxes –
static free, high precision long life
• 9” Reticle Carrier
for shipping and storage
• Reticle Inspection lamp, UV and cold fibre optic
concepts
• In Line Vertical Plate Cleaner Yellowstone –
for photomasks, solar technology and FPD’s
etc. with sizes up to 1100 mm
Precision wafer carriers
• Single Substrate Cleaner –
automatic – for wafers, reticles, masks, MEMS
magnetic heads, CMP’s and all precision
cleaning
Reticle stocker
Mega optical cases
46
www.dms-semi.de
E + H Eichhorn + Hausmann
www.eichhorn-hausmann.de
Technology and Product Range
While working for a machine building institute
at the local university in the seventies, Wilfried
Eichhorn and Taddaeus Hausmann developed a
capacitive contactless distance measuring system with a linear relation between distance and
output voltage. The system was used to measure distances, displacements, thickness etc.
Due to the use of a relatively low carrier frequency, in case of silicon, there is no influence of
the material’s resistivity. The system can be
used in the entire range between 0.001 Ωcm
and 1,000 Ωcm without calibration.
To measure the shape of silicon wafers, especially their flatness, taper or warp, E + H developed multi-sensor probe heads with solid state
switches to switch between the individual sensors. This system guarantees short scan times
and avoids moving the wafers during the measurement.
Manufacturers of silicon wafers use E + H
gauges and sorters in every stage of the manufactur-ing process. In wafer fabs, among other
applications, the systems are used to trace the
variations in warp (stress) of processed and
backside-ground wafers.
E+H
Eichhorn + Hausmann
GmbH
A new developement is a Surface Profiler for the
wire-sawing process to measure waviness,
thickness, warp and roughness of 300 mm wafers. A pair of capacitive sensors measure a diagonal cut through the center of the wafer and
derive the thickness, waviness and warp. Simultaneously to that, another two pairs of capaci-
Phone:
Fax:
E-Mail:
Internet:
tive sensors measure the thickness of the wafer
close to the edge (entry and exit of the cutting
wire). Furthermore, the roughness in those two
areas is derived by means of an optoelectronic
measuring system.
E + H is performing intensive research in the
field of Nanotopography for the two-sided
grinding process together with wafer manufacturers.
E + H will participate on a new European Commission project related to the manufacturing
process of Solar Cells.
Benzstrasse 7 + 9
76185 Karlsruhe
Germany
+49 (0) 7 21 · 8 31 18-0
+49 (0) 7 21 · 8 31 18-40
[email protected]
www.eichhornhausmann.de
Company Profile
The high-technology orientated German company manufactures a wide
range of measurement and handling
systems for semiconductor wafers.
They are all based on E + H’s own special contactless capacitive sensor technology. Measurement applications include wafer thickness, geometry, resistivity and stress. E + H products are used
by the world‘s most important wafer
manufacturers and chip manufacturers. E + H is especially dedicated to
provide custom solutions for special
measuring and handling problems.
The company employs about a dozen
persons, most of whom are engineers.
Promotion and distribution of E + H’s
products are handled by exclusive sales
partners all over the world.
Company Information
General Managers:
Wilfried Eichhorn, Daniel Raseghi
Exclusive Sales Agents in: Germany,
Great Britain, France, USA, Japan, South
Korea, Taiwan and Malaysia
Excerpt from List of Customers:
AMD, Analog Devices, Anam, ASE*,
Atmel, Bosch, CSM, Deutsche Solar,
Disco*, Ericsson, Fraunhofer Gesellschaft, G&N, Hyundai, IBM*, IMEC,
Infineon, Inotera*, Lucky Goldstar,
MEMC*, Micronas, Micropolish, Mitsubishi, Motorola, National Semiconductors, NEC, OKMETIC, Philips, Posco
Huls, SC 300*, SEH*, Siemens Solar,
Signetics, SPIL*, STMicolelctronics,
SUMCO*, Texas Instruments*, TSMC*,
Wacker Siltronic*, X-Fab, ZMD
300 mm Stress Gauge
*) 300 mm user
300 mm Surface Profiler
www.eichhorn-hausmann.de
47
EKRA Eduard Kraft
EKRA
Eduard Kraft GmbH
Zeppelinstrasse 16
74357 Bönnigheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 71 43 · 88 44-0
+49 (0) 71 43 · 88 44-22
[email protected]
www.ekra.com
EKRA Headquartes
Company Profile
Founded in 1946 and a manufacturer
of screen printers since 1976
Subsidiaries located in Singapore
and USA
World-wide sales supported by more
than 30 distributors
President:
Karl-Heinz Metz
www.ekra.com
For more than 25 years EKRA has been a leading
supplier of high quality screen and stencil
printer systems to the SMT, Thickfilm/LTCC,
semiconductor and solar cell industries. Covering the full range of semi-automatic and automatic applications, EKRA’s family of standard
printers are highly regarded by customers
around the globe.
Committed to flexibility and responsiveness,
the company is also a leading supplier of turnkey printing systems for high-volume, fully automated production applications. In addition to
world-wide customer support provided by
its training and service staffs. EKRA’s team of
application engineers offer complete process
solutions tailored to customers’ specific requirements.
Since 1997, EKRA’s average annual growth rate
has exceeded 80 %. In 1998, the company’s first
foreign subsidiary was founded in Singapore.
One year later, a second subsidiary was opened
in Boston, MA, USA. To date, EKRA has two primary production facilities in its Bönnigheim headquarters, Germany, and in a recently inaugurated (2000) factory in Baesweiler, Germany.
Printed solder bumps after the reflow process
(Courtesy of IZM)
Despite strong growth experienced in the last
years, the company continues to garner official
recognition for its quality. EKRA was recently
cited for outstanding quality and performance
by Bosch Group for the period of 1999 and 2000.
In the USA, EKRA was honored with the 2001
Service Excellence Award by Technology Forecasters and Circuits Assembly Magazine. And
the market research firm, Frost & Sullivan, has
bestowed their 2001 Market Penetration award
on EKRA for SMT screen printer equipment.
Cited was EKRA’s outstanding achievement in
winning market share world-wide from its competitors.
Print area of
the EKRA wafer
bumping line
Loader with robot arm and flat/
notch finder
48
ERSA
www.ersa.com
ERSA started working on the lead-free process
years ago and successfully installed various
lead-free production lines. The experience
gained during this period was immediately
transferred into the complete ERSA product
range:
Reflow Soldering Systems
With the HOTFLOW 2 series, successor of the
extremely successful HOTFLOW series with more
than 1,500 systems installed world-wide, ERSA
sets new standards in lead-free reflow soldering. Highest throughput, smallest possible delta
T and low peak temperatures are no longer opposing process parameters. Maximum service
friendliness, the unique and user-friendly EPOS
software package and easiest and flexible parameter setting make the HOTFLOW 2 series the
trendsetter in the reflow sector.
HOTFLOW 2 by ERSA: the trendsetter
Selective Soldering Systems
Automated selective soldering is currently one
of the most interesting tasks in electronic pro-
Wave Soldering Systems
ERSA also covers the entire range of wave soldering systems: machines for small scale and
laboratory type productions, soldering systems
for professional medium and large scale production as well as high end systems for high
volume soldering applications. All systems are
available or retrofittable with nitrogen coverage
and ready for lead-free soldering.
Soldering Tools, Rework Centers and Visual
Inspection Systems
With the largest range of products for soft soldering world-wide, ERSA has the fitting solutions for every hand soldering, desoldering and
rework application. ERSA introduced the world’s
first low cost IR Rework station, the IR 500 –
today, more than 4,000 systems installed worldwide. Furthermore, ERSA invented and patented
the world’s first BGA optical inspection system,
the award winning ERSASCOPE. With over 1,600
systems installed world-wide, ERSA is the world’s
undisputed leader in this important and growing market sector.
From the most advanced soldering stations
with lead-free tips, through to the introduction
of the second generation ERSASCOPE EXP with
its Lead-Free Library, and finally culminating in
the world’s first true closed loop reflow system
for lead free rework, the award winning IR550/
PL550, ERSA technology is setting the standards
in the industry.
ERSA GmbH
Leonhard Karl Strasse 24
97877 Wertheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 93 42 · 8 00-0
+49 (0) 93 42 · 8 00-1 00
[email protected]
www.ersa.com
Since 1921, ERSA has been striving to
be more than simply another soldering
equipment supplier. Today, ERSA is
Europe’s oldest and largest supplier of
soldering equipment with the largest
product range in the world. From a 5 W
soldering iron for the hobbyist up to a
fully automated multi-jet reflow oven
for high speed lead-free SMT production – ERSA’s core competence covers
the complete spectrum. We take great
pride in developing long term relationships with our customers by being a
strategic technology partner and by
offering innovative solutions to real life
soldering problems.
Since the introduction of SMT (Surface
Mount Technology) over 25 years ago,
the electronics industry has not only
realized unparalleled advancements,
but has also faced some of its greatest
challenges. The tremendous technological advancements in electronic
component development and PCB
manufacturing techniques have burdened the user and the equipment
supplier alike.
duction. With the complete VERSAFLOW family
ERSA is the world’s technology and market
leader. The VERSAFLOW systems provide maximum flexibility as they can be operated inline or
as stand-alone system, with precision solder
nozzle or multi-fountain technology which can
also be combined. With the VERSAFLOW ecoselect ERSA provides solutions for small budgets
as well.
ERSA IR 550 A Rework System:
revolutionary for reflow soldering BGA/SMT reflow technology
49
F & K Delvotec Bondtechnik
www.fkdelvotec.com
Company Profile
F & K Delvotec
Bondtechnik GmbH
Daimlerstrasse 5–7
85521 Ottobrunn
Germany
Phone:
Fax :
E-Mail:
Internet:
+49 (0) 89 · 6 29 95-0
+49 (0) 89 · 6 29 95-1 00
[email protected]
www.fkdelvotec.com
Company Information
Legal Structure: GmbH
Managing Director: Dr. Farhad Farassat
Year of Foundation: 1977
Employees: >160 world-wide
Industrial Plant: 4,500 m2
Annual Sales: approx. 50 million €
Subsidiaries:
F & K Delvotec Inc.,
Foothill Ranch, CA/USA
F & K Delvotec Bondtechnik
GmbH & Co. KG, Singapore
F & K Delvotec Bondtechnik
GmbH & Co. KG, Braunau/Austria
F & K Delvotec is a leading supplier of bonding
equipment for both semiconductor manufacturers and users with a world-wide customer
base and was one of the first equipment suppliers to have its quality system certified according to ISO 9001.
F & K Delvotec offers the widest range of die
and wire bonders in the marketplace for the
production of discrete devices, ICs and hybrid
systems as well as multi-chip modules (MCM)
and chip-on-board (COB) applications:
F & K Delvotec’s
Heavy Wire Bonders here connected as
a Triple Head Machine
50
F & K Delvotec’s
Bond Tester Model 5600
• 5400 series manual and semi-automatic
wire bonders
with versions for gold-ball wire bonding, gold/
aluminium thin and heavy wire wedge bonding; manual or semi-automatic, programmable bonding capability
F & K Delvotec’s
Semi-automatic
Model 5430
Representatives: in all countries
F & K Delvotec’s
Epoxy & Eutectic Die Bonder
Model 4501
for use in COB and COW applications on small
and large substrates, also for flip-chip bonding
• 4500 multi-chip, multi-placement die bonder
for use in demanding hybrid, COB and COW
applications
• 4501 large area epoxy & eutectic die bonder
for use in demanding hybrid, COB and COW
applications with extremely large work area
and flip-chip capability
• 5600 bond tester
for automatic pull, shear, peel and tweezer
testing of any number of bonds or chips, with
exchangeable measurement pods, immediate
data analysis and output or export in a number
of data base formats for subsequent analysis
as desired.
• 6200 series gold ball bonders
with large, true-linear z motion for deep access
bonding in deep-molded packages
• 6210 series gold ball bonders
with smaller working area and pivoting head
for demanding high-speed applications
• 6400 series aluminium and gold wedge-wedge
rotary head bonders
for general applications, discrete devices,
MCM, COW and COB with 6“ x 8“ working area
• 6400 DA variant with deep-access bond head
• 6600 HS series high speed aluminium heavy
wire wedge-wedge rotary head bonders
for power devices and hybrid applications
• 4300 high-speed single-chip die bonder
for use in many standard applications, including versions for extremely high throughput
• 4410 and 4420 single-chip, multi-placement
die bonder
F & K Delvotec’s particular strengths are in design and manufacture of customised solutions
for device packaging systems for the widest
range of products in the industry: lead frames,
individual SMD devices, MCMs, COW, hybrid
systems and COB boards up to 8“ x 6“ as well as
passive and even non-electronic components.
F & K Delvotec’s systems are unique, in particular for large area substrates and for the bond
head containing all 4 motion axes. Thus the
substrate is completely stationary after clamping, it allows optimum bonding results while
minimising substrate handling. All motion axes
are powered by latest-technology linear drives,
controlled by a high-performance real-time
multi-tasking software based on UNIX.
F & K Delvotec’s technological leadership is underlined by over 20 international patents
acquired in the 25 years during which F & K
Delvotec has helped shape the development of
bonding technology.
F & K’s latest developments are:
• a further improved motion system on the
heavy wire bonder series with newly developed software elements. These new features
have not only increased the bond speed by
more than 30% but also considerably improved
the placement accuracy.
• a new deep-access bond head .
Now containing a clamping unit which allows
trouble-free working with ribbons from 20 µm
to 250 µm. This feature is highly appreciated
by our customers and has opened an additional market niche for F & K Delvotec.
HÜTTINGER
www.huettinger.com
Empowering Productivity
Power for micro and macro technology
HÜTTINGER Elektronik is a world-wide leader in
power supplies for induction heating and plasma excitation. Our broad product portfolio
spans power ranges from 300 Watts to 600 Kilowatts. HÜTTINGER power supplies are designed
for easy integration into systems driven by direct current (DC), medium frequency (MF), and
radio frequency (RF). For those that require a
custom tailored solution, HÜTTINGER is ready to work with
you. By selecting HÜTTINGER
you benefit from our decades
of experience in power supply
technology. We deliver the individual solutions that provide
you with competitive advantages in your market.
Plasma technology covers an enormous scope
of applications. It’s used in the manufacturing
of integrated circuits as well as storage media
like CDs and DVDs. Systems for large area coating, CO2-laser excitation and web coating also
depend on plasma.
HÜTTINGER Elektronik
GmbH + Co. KG
Elsässer Strasse 8
79110 Freiburg
Germany
Phone:
Fax:
E-Mail:
Internet:
Beyond these traditional industries, induction
heating is also used in many leading edge technologies. For instance, zone floating is one of
the first steps in the production of high purity
silicon, being used for wafer production in the
semiconductor industry, a process with stringent requirements on stability and uptime.
Equally demanding are the high tech areas of
crystal growing, metal evaporation and epitaxy.
The leaders in these markets trust HÜTTINGER’s
high reliability power supplies.
+49 (0) 7 61 · 89 71-0
+49 (0) 7 61 · 89 71-1 50
[email protected]
www.huettinger.com
North American Headquarters:
HUETTINGER Electronic, Inc.
111 Hyde Road
Farmington, CT 06032
U.S.A.
Tradition and high-tech
The induction heating industry
has a long history in thermal treatment of metal
and steel. For years, HÜTTINGER power supplies
have been a crucial element in these traditional
heat treating systems. Today our products are
found in numerous applications like hardening,
soldering or melting.
World Headquarters:
Phone:
Fax:
+1 860 · 6 77 79 30
+1 860 · 6 78 83 45
Japan Headquarters:
All these different processes have one thing in
common: Their need for a reliable power source.
HÜTTINGER power supplies meet or exceed
your needs!
We’re not just in clean rooms. You’ll find our
products being used to coat flat glass and to cut
steel sheets in plants throughout the world. For
instance, our RF generators power the CO2lasers made by TRUMPF, the world’s leading
manufacturer of industrial lasers for metal fabrication. From the delicate world of microelectronics to the challenging environment of an
industrial factory, HÜTTINGER leads the way.
Global service for local support
At HÜTTINGER we strive to provide you with the highest possible
level of support. In today’s global
economy, you need a partner with
a presence everywhere your products are used, not just where
they’re made. That’s why we
maintain subsidiaries and service
agents throughout the world.
HÜTTINGER, power to succeed!
HÜTTINGER Electronic K.K.
4-45-21 Higashi Yamada, Tsuzuki-Ku
Yokohama 224-0023
Japan
Phone:
Fax:
+81 45 · 5 95 30 71
+81 45 · 5 90 43 20
About HÜTTINGER Elektronik:
HÜTTINGER Elektronik is a world-wide
leader in manufacturing of power
supplies for induction heating, plasma
applications, and laser excitation. As a
global player, HÜTTINGER has sales,
service, and support facilities in Europe,
America and Asia. Due to its innovative
track record in the area of power supplies, HÜTTINGER was invited to join
the TRUMPF Group in 1990.
About TRUMPF GmbH + Co. KG:
The TRUMPF Group is one of the
world’s leading companies in manufacturing technology, with sales of
€ 1.17 billion/US $ 1.04 billion (fiscal
year 2001/02) and approximately
5,600 employees. The four divisions –
Machine Tools, Laser Technology,
Electronics/Medical Technology, and
Power Tools – are connected under the
umbrella of the TRUMPF Group holding
company. With 40 subsidiaries and
facilities, the TRUMPF Group is present
in almost every European country, in
North and South America, as well as in
Asia. Production facilities are located in
Germany, France, Austria, Switzerland,
Taiwan and the United States.
TRUMPF Group
51
INA-Schaeffler
www.ina.com
Engineering Expertise for Electronics
Manufacturing
INA-Schaeffler KG
91072 Herzogenaurach
Germany
Member of the
Schaeffler Group
Germany:
Phone: 01 80 · 5 00 38 72
01 80 · 5 00 38 73
Fax:
You can’t see them, and you can hardly hear
them, but you can imagine that they are there.
It’s not until you have a good look at the highprecision, fast and repetitive operations involved in electronics manufacturing that you
realize that the right bearing supports ensure
the flawless motion required for these applications. INA can make this perfect motion possible. For over 50 years now INA has been working closely with leading machine and equipment manufacturers from many different industrial sectors.
E-Mail: [email protected]
Internet: www.ina.com/
productronics
Owners:
Maria-Elisabeth Schaeffler,
Lic.oec. HSG Georg F.W. Schaeffler
CEO – INA world-wide:
Dr. Jürgen M. Geissinger
Plants:
Australia, Brasil, China, Czech Republic,
Germany, France, Great Britain, India,
Italy, Korea, Rumania, Slowakia, Spain,
Switzerland, USA
Sales and Support:
International engineering service and
subsidiaries on all continents
Founded: 1945
Contacts:
Clemens Hesse
Application Engineering
Phone: +49 (0) 91 32 · 82-41 87
E-Mail: [email protected]
Michael Heid
Linear Technology Division
Phone: +49 (0) 68 41 · 7 01-6 63
E-Mail: [email protected]
Giving expert advice is very important at INA
This co-operation has resulted in the development of numerous new products. There are always tailor-made design solutions that make machines faster, more reliable and more precise –
in short, more efficient.
We would like to share our comprehensive
wealth of experience with you. Regardless
whether bearing supports or direct drive technology is required for rotary, oscillating or linear
motion, INA can supply a wide variety of rolling
bearings, plain bearings, linear guidance systems and direct drives, and these products are
always backed by excellent customer service.
Where else can you get all of that from a single
source?
This angular contact radial ball
bearing unit ZKLR is available for shaft
diameters from 6 to 20 mm.
52
INA is an international corporate group with almost 40 plants around the world. The rolling
bearing manufacturer is based in Herzogenaurach, Germany, and offers a wide product
range of rolling and plain bearings, linear systems and engine components. The company is
one of the world leaders in the needle rolling
bearing sector. Over 28,000 employees worldwide are involved with the development, manufacture and sale of INA products. The focus of
INA’s marketing strategy is expert advice given
to customers about their applications on site.
Product Range
Other Countries:
Phone: +49 (0) 91 32 · 82-0
+49 (0) 91 32 · 82-49 50
Fax:
Executive Vice President
Industry and Distributors:
Robert Schullan
Company Profile
Needle roller bearings, cylindrical roller bearings, deep groove and angular contact ball bearings, thrust needle roller bearings, combination
thrust and radial bearings, slewing rings, thin
section bearings, yoke type and stud type track
rollers, radial insert ball bearings and housing
units, chain tensioning sprocket, belt tension
pulleys, drawn cup roller clutch w/ and w/o
bearing supports, bearings & assemblies for
textile machines, Permaglide® plain bearings,
ELGES spherical plain bearings, ELGES rod ends,
rolling bearing components and accessories,
fineblanked parts, track roller linear guide, plain
bearing guide, linear ball bearing guide, shafts,
including shafts to customer specifications, ball
type profiled rail linear unit, roller type profiled
rail linear unit, HYDREL cage guides, planetary
roller screws, direct drives, linear tables, linear
actuators, accessories for linear guides. Direct
drives from the Schaeffler Group provided by
L-A-T and präTEC: planar drives, linear drives,
rotary direct drives/circular tables, cross-tables,
linear rotary modules, controls, elevator drives
with rotary direct drives, tailor-made products.
Wear free, precise, dynamic: Planar drives
are especially suitable for pick-and-place
jobs. System efficiency is increased when
several forcers are used for each stator.
Low noise: Four row ball type profiled rail unit with innovative rolling
element separation.
GTL KNÖDEL
www.knoedel-online.de
Thermal Treatment Systems for the production
of electronics for drying and curing of all types
of coatings as well as for hot-tests
The products to be treated are electronic
assemblies, PCBs and panels.
The equipment:
GTL KNÖDEL GmbH
• Belt conveyorised systems “entuca” with
different sorts of conveyor variants
• Vertical systems “vertan” with tray and
paternoster conveyors
• Gondola-equipped systems “pentherm” for
universal conveyor executions.
Gesellschaft für Trockner und
Lackieranlagen
Dryers and Coating Equipment
P. O. Box 13 10
71203 Leonberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 71 52 · 97 45-3
+49 (0) 71 52 · 97 45-50
[email protected]
www.knoedel-online.de
Managing Directors:
Peter Knödel, engineer
Robert Hallgarten, economist
Thermal Treatment Systems for the production of electronics
Conformal Coating System KNÖDEL perfecta® NT
for the completely mechanised dipcoating of
electronic assemblies in biggest throughputs
Distributors:
world-wide
Protective coating is vital for the preservation of
electronic assemblies which have to fulfill
important functions in our life over a long time.
As these assemblies are developing with immense speed, the design of suitable protective
coating systems has to match this rapid evolution. KNÖDEL today offers off- and online systems for all dipable assembly geometries for
highest throughputs.
53
Lach Diamant Jakob Lach
• dreborid – polycrystalline diamond and CBN
cutting tools for turning, boring, milling non
ferrous metals, hardened steels, cast iron and
HSS.
Lach Diamant
Jakob Lach
GmbH & Co. KG
• Single-point diamond dressers, ground profile
and copy diamonds, multi-point diamond
dressers, dressing plates and aggregates,
precision diamond dressing rolls, drebojet diamond mill dressing rolls, natural diamonds
from own imports for choosing from large
Donaustrasse 17–21
63452 Hanau
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 61 81 · 1 03-02
+49 (0) 61 81 · 1 03-8 60
[email protected]
www.lach-diamant.de
Company Profile
President: Horst Lach
diamond lots.
Scoring blades
Year of foundation: 1922
People employed in 2002: 125
Sales: world-wide
High quality diamond and carbide
tooling for the PCB industry
www.lach-diamant.de
Products for PCB industry
The company was founded more than 80 years
ago, and within the last 30 years it has developed into a leading manufacturer of diamond
tools for the PCB industry.
Product Range
• Diamond saw blades for dividing and sizing
base material FR4, FR2, CEM1, CEM3, thin
laminates multilayer, a. o.
• Diamond cutters for edge bevelling base
material for PCB.
• Diamond shank type cutters for edge rounding base material of PCB and removement of
copper layering on multilayer board.
• Diamond tools for chamfering,
edge trimming PCB.
• Diamond files, diamond deburring tools,
diamond cut-off grinding wheels for plastics,
duroplasts, sintered carbide.
• Diamond pastes and diamond spray MF
program for lapping and polishing.
• Diamond tool program for all industrial
applications, such as milling, profiling,
grooving, sawing all wood materials, plastics
and laminate flooring.
• PCD diamond grinding machines for manufacturing and resharpening all polycrystalline
diamond tools.
• Resetting and resharpening in own diamond
resharpening centers of single-point diamond
dressers, profile and copy diamonds, diamonds for probe, natural diamond turning
tools, resharpening service for polycrystalline
diamond and CBN tools, recoating of diamond
and CBN tools with electroplated bond, CVDcoating of wear parts.
• Diamond and solid carbide scoring saws/
V-cutters for scoring PCB.
• Diamond trimming cutters for trimming and
chamfering multilayer boards.
• Diamond and solid carbide contour routers.
• Diamond twist drills.
• Diamond and CBN grinding wheels and pins
in resin, metal, ceramic and electroplated
bonds.
Hanau, Germany
54
MASCHINENFABRIK LAUFFER
www.lauffer.de
For the Production of Printed Circuit Boards:
• Vacuum Laminating Presses
for Multilayer PC-Boards
• Fully Automatic Vacuum Transfer
Systems for the Lamination of
Multilayer PC-Boards
• Automatic Conveyor Lines for the
Transport of Press Tooling or
“Cookie Sheets”
• Storage Systems
• Lay-up Stations
• Break-Down Stations
• Manual and Automatic
Registration Hole Punches
• Stamping Presses
• Tooling
MASCHINENFABRIK
LAUFFER GMBH + CO. KG
P. O. Box 12 20
72152 Horb am Neckar
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 74 51 · 9 02-0
+49 (0) 74 51 · 9 02-1 00
[email protected]
www.lauffer.de
Automatic Vacuum Transfer System for the Lamination of
Multilayer PC-Boards Model TRMV
Fully automatic reel-to-reel multiplunger
molding system for smart-card-ICs
For the Production of “Smart Cards”:
• Automatic Molding Systems for
“Smart Card Chips”
• Laminating Presses for Cards
For the Assembly
of Semiconductor Devices:
• Test Molds
• Transfer Molding Presses
• Automatic Multiplunger
Systems
• Transfer Molds
• Pelletizers
• High Frequency Preheaters
• Trim & Form Equipment
Additional Encapsulation
Services
• Technological Support
• Prototype Components and
Sample Production
Lab equipped with hydraulic and electromechanical transfer molding presses for
the production of prototype components and small-sized lots
www.lauffer.de
55
M+W Zander Holding
www.mw-zander.com
M+W Zander Holding AG
Lotterbergstrasse 30
70499 Stuttgart
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 7 11 · 88 04-0
+49 (0) 7 11 · 88 04-13 09
[email protected]
www.mw-zander.com
Board of Directors:
Jürgen Gießmann (CEO)
Reimund Blessing
Dr. Wolfgang Häfele
Helmut Laub
Steffen Pfund
Company Profile M+W Zander
M+W Zander, a subsidiary of the Jenoptik
Group, Jena, Germany, divides its activities into
the Facility Engineering and Facility Management business areas. Approximately 7,000 employees at over 40 sites in the world worked
towards the 1.308 billion euros in revenue for
the company in 2002.
M+W Zander provides services to owners of
high-tech buildings and production facilities –
ranging from consulting, architecture, engineering and construction to the modernization
and operation of the facilities. The company is
the global leader in engineering and construction of turnkey microchip factories.
Facility Engineering includes consulting services
for customers regarding locations around the
world and the planning and construction of
high-tech factories. Our companies design technical facility systems and supply their own special products, e.g. ventilation and air-conditioning systems, cleanroom systems and components.
The experience we own as a general contractor
in design and construction of electronic production facilities is to an increasing extent used for
the pharmaceutical and food industries, too.
Additional orders come from the car and chemical industries and power stations, as well as
from data centers and hospitals.
In addition, we offer comprehensive facility
management. We operate the technical systems
and manage all tasks that are necessary for
smooth operation.
www.mw-zander.com
56
Manz Automation
www.manz-automation.com
Manz is a leading system integrator in the field
of robotics and vision systems. The focuses of
Manz are the electronic industry as well as
manufacturers of LCD flat panels and silicon
solar cells. For these industries, Manz delivers a
wide range of innovative automation and manufacturing systems. The company is specialized
in turnkey solutions for the handling and as-
Manz Automation AG
Steigaeckerstrasse 13
72768 Reutlingen
Germany
sembly of small and sensitive parts. Many of our
systems are operated under clean-room environment.
For the electronic industry, Manz mainly delivers flexible insertion systems for odd shaped
Phone:
Fax:
E-Mail:
High speed pick & place cell – inLine.speed
+49 (0) 71 21 · 90 00-0
+49 (0) 71 21 · 90 00-99
[email protected]
Internet: www.manzautomation.com
components. Even though the majority of electronic components are available in SMD technology, there are still components left in
through-hole technology. These components,
like capacitors, connectors, switches or relays,
are very often placed manually at the end of a
fully automated line. Manz systems close this
gap and offer a flexible and efficient way to
place these so-called odd shaped components
on PCB’s.
Insertion line – inLine.place
In the area of clean-room automation, Manz
focuses on the LCD flat panel and the silicon
solar cell industries. For both industries, Manz
developed dedicated robot handling systems.
For the LCD industry, Manz offers handling systems for very large and extremely thin glass
sizes up to generation 6 (1,500 x 1,800 mm).
For the solar cell manufacturer, Manz Automation offers a full range of loading and unloading
systems. The Manz systems are suitable
to work with all of the current available process tools used in the solar
industry. Manz developed the most
advanced cell testing and sorting
system available on the market.
To support our world-wide base of customers, Manz operates two subsidiaries, one in
the United States and one in Taiwan.
The Manz place & solder system is, in addition,
able to solder the components immediately
after they have been placed. This system is espe-
President & CEO:
Dieter Manz
Sales and Service Offices:
USA:
Manz Automation, Inc.
35 Tourgee Street
North Kingstown, RI 02852
Phone: +1 · 4 01 · 2 95 21 50
Fax:
+1 · 4 01 · 2 95 21 90
Contact: Wolfgang Jeutter
Asia:
Manz Automation Asia
3 Fl/No 85, Kung-Ming Sixth Road
Chu-Bei City
302 Hsinchu County
Taiwan
Phone: +8 86 · 9 · 17 34 70 21
Fax:
+8 86 · 6 · 5 85 35 73
Contact: Tobias Rapp
cially suitable in cases where the SMD components are already reflow soldered and a subsequent wave soldering process is therefore impossible. The latest development from Manz is
a completely new insertion machine. The gantry
type system employs the latest technology in
linear motors and digital servo drive amplifiers.
This enables the system to work with very high
speed at very high position accuracy. Due to its
unmatched performance, the new system is
also suitable for assembly and test applications
in the area of micro technology.
Contact: Dieter Manz
LCD Robot handling system
57
Micromotion
www.mikrogetriebe.de
Field of Actions
Micromotion GmbH
An der Fahrt 13
55124 Mainz
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 61 31 · 6 69 27-0
+49 (0) 61 31 · 6 69 27-20
[email protected]
www.mikrogetriebe.de
Management:
Dr.-Ing. Reinhard Degen, Dr. Rolf Slatter
Established in 2001
Sales and support through:
Harmonic Drive AG
Hoenbergstrasse 14
65555 Limburg
Phone: +49 (0) 64 31 · 50 08-0
Fax:
+49 (0) 64 31 · 50 08-18
Internet: www.harmonicdrive.de
Micromotion GmbH is focused on the
development and manufacture of
micro gears and micro actuators using
the Harmonic Drive principle. In 2001,
Micromotion was awarded an Innovation Prize in Rhineland-Palatinate.
Highest precision and micro dimensions – that’s what the world’s smallest
positioning gear, the Micro Harmonic
Drive®, stands for.
Micromotion GmbH opens up innovative applications in the field of micro drive systems by
providing a new generation of high precision
and zero backlash micro gear systems for industrial use: the Micro Harmonic Drive®. The principle of the Harmonic Drive® gear system has
been applied to a micro gear system with only
1 mm axial length and 8 mm diameter, using
the LIGA technique (from the initial letters of
the German words for Lithography, Electroforming and Moulding) for constructing gear systems which are combined with micro-motors.
In addition to its small size the Micro Harmonic
Drive® achieves a very high reduction ratio between 160:1 and 1,000:1 in a single stage and
transmits an output torque of 60 mNm with a
remarkably low friction torque loss below
30 µNm. Micromotion cooperates with clients
to provide tailor-made solutions.
The Products
Micro drive systems are not only necessary to
create movement but more importantly for the
precise orientation or adjustment of very small
components, such as lenses, mirrors, optical
fibres or grippers. The world’s smallest backlash-free positioning gear is available as a gear
component set and as a gearbox to enable an
easy integration in the given environment.
Combined with currently available micro-motors these drives can be used for a variety of
applications. The recently developed servo actuator featuring a central hollow-shaft and
equipped with a motor from Maxon meets
highest requirements in terms of precision.
Applications for Micro Drive Systems
Micro actuators incorporating the Micro Harmonic Drive® gear offer new opportunities
because of their small dimensions, low mass,
low inertia and low power consumption without sacrificing excellent positioning accuracy
and highly dynamic performance. The Micro
Harmonic Drive® is ideally suited to precise positioning applications in the following fields:
• semicon, e. g. to assemble, handle and adjust
semiconductor components,
• optical communication, e. g. to switch or
adjust fibres,
• optics, e. g. to adjust lenses and mirrors,
• laser technology, e. g. to adjust the beam by
means of mirrors or lenses,
• measuring machines, e. g. to adjust
non-contacting sensors,
• robotics, e. g. to drive axes of micro robots
with high accuracy,
• medical equipment, e. g. to dose drugs or to
drive surgical instruments,
• biotechnology, e. g. to dose expensive
materials and to adjust pipette probes,
• aircraft and spacecraft, e. g. to control
nozzles or valves in nanosatellites.
The world’s smallest backlash-free hollowshaft servo actuator resulting from the
cooperation with maxon motor AG
Size of the Micro Harmonic Drive®
gearbox and servo actuator compared
to a “jelly baby”
REM photo of the
gear components
58
PVA TePla
www.pvatepla.com
The Company
PVA TePla AG was formed by a merger of TePla
AG and PVA Vacuum-Anlagenbau GmbH. The
core competence of the company is high temperature vacuum treatment and microwave
plasma technology.
PVA TePla is a technology leader in low-pressure
gas plasma technology for a wide range of applications with over 20 years of experience in
plasma processing. Over 2,000 systems have
been sold world-wide in close co-operation with
subsidiaries and regional distributors. PVA TePla
is listed on the Deutsche Boerse AG, segment
Prime Standard, Tec All Share, Advanced Industrial Equipment.
Most important feature of the Plasma System
80 is the unsurpassed uniformity of its plasma
impact.
be equipped for tomorrows’ materials
It offers all benefits of superior microwave technology, namely short process times and absolutely damage-free processing.
The Plasma Systems 400/660 are specially designed for chip packaging. They provide leadingedge process technology – for wafer cleaning
and for plasma-based processes prior to die
bonding, wire bonding and encapsulation. The
systems feature electrode-less energy feeding
and plasma generation – the key to treating
substrates in closed, non-slotted magazines in
the downstream process.
PVA TePla AG
Plasma Systems Division
Hans-Riedl-Strasse 5
85622 Feldkirchen
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 9 05 03-0
+49 (0) 89 · 9 05 03-1 00
[email protected]
www.pvatepla.com
Subsidiaries:
TePla France
12 Avenue des Près
B.L. 405 Montigny le Bretonneux
78059 Saint Quentin en Yvelines
France
Leadership in Plasma Technology
Within the last several years, the Plasma Systems Division has focused on the semiconductor, flat panel, printed circuit board, chip assembly and surface pre-treatment industries. Through
many years of experience and development,
PVA TePla has acquired a leading position in
microwave batch plasma systems for semiconductor, PCB/Chip Packaging and surface pretreatment processing.
PVA TePla manufactures high-quality, standard
and customized process equipment in compliance with today’s strictest safety, environmental and user interface requirements.
Plasma Systems for maximum efficiency in
PCB/Chip Packaging
For new technologies such as Flip Chip, Stacked
Dies, Multi Chip Modules or Advanced Cu Lead
Frames, PVA TePla provides professional solutions and its equipment is successfully deployed
by leading chip packaging foundries.
Phone:
Fax:
E-Mail:
The increasing use of new PCB materials, such
as Teflon and High Tg materials suggest a steadily growing market for plasma equipment. Especially for Teflon there is no better surface activation process than plasma treatment. Leading
PCB manufacturers confirm that PVA TePla
equipment can treat these high-tech materials
very excellently.
The Plasma Desmearing Systems 4031, 4061
and 4081 are high-capacity production tools for
use in printed circuit board manufacturing.
Plasma is deployed here for cleaning boreholes
in mechanically drilled multiplayer boards as
well as for cleaning of flex-rigid and flexible
circuit boards. These are superior systems for
desmearing acrylic adhesive smear, for etching
back High-Tg materials and for activating
Teflon.
+33 1 39 30 03 30
+33 1 39 30 03 34
[email protected]
TePla America Inc.
1550 Norwood Drive
Suite 307
Hurst, Texas 76054-3646
USA
Phone:
Fax:
E-Mail:
+1 8 17 · 6 62-01 53
+1 8 17 · 6 62-01 57
[email protected]
TePla America Inc.
251 Corporate Terrace
Corona, CA 92879
USA
Phone:
Fax:
+1 9 09 · 3 71-25 00
+1 9 09 · 3 71-97 92
The new developed Plasma System 80 is the
world’s only microwave plasma system for
treating single substrates in semiconductor
chip assembly. The system is specially designed
for inline production and is deployed before
wire bonding and before the chips are packed.
59
ROFIN-SINAR Laser
www.rofin.com
ROFIN: 25 years of laser know-how
and innovation
ROFIN-SINAR Laser GmbH
Headquarters Laser Macro
Berzeliusstrasse 83
22113 Hamburg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 40 · 7 33 63-0
+49 (0) 40 · 7 33 63-1 60
[email protected]
www.rofin.com
Rofin is one of the world’s leading laser manufacturers and offers state-of-the-art solutions
for a wide range of industrial applications.
Whether laser beam source or laser-based
system solution, whether standard solution
or, more importantly, with a customer specific
solution, Rofin is a world class manufacturer
in industrial laser materials processing. Rofin’s
product range covers the entire spectrum of
industrial laser materials processing including
CO2 lasers, diode- and lamp-pumped solid-state
lasers as well as diode lasers.
Micro – Focus on Fine Solutions
The Rofin Laser Micro group with its operational
headquarters in Starnberg/Germany concentrates on high-precision applications on very
small components. Typical applications include
seam and spot welding, deposition welding,
fine and even finer cutting, scribing, drilling,
perforation, ablation, engraving and soldering.
Product range:
Nd:YAG lasers up to 750 W, CO2 lasers and customized systems for fine cutting, fine welding,
perforation and micro-structuring.
With production facilities in various countries,
sales and service offices at strategic centers
round the globe, Rofin serves an installed base
of more than 15,000 lasers, operated by more
than 2,500 customers world-wide.
Headquarters Laser Marking
Neufeldstrasse 16/Günding
85232 Bergkirchen
Germany
Phone:
Fax:
E-Mail:
+49 (0) 81 31 · 7 04-0
+49 (0) 81 31 · 7 04-1 00
[email protected]
Three strong pillars
In order to match the specific market demands,
Rofin operates in three key market areas: lasers
used for Macro, Micro and Marking applications.
Marking – The Mark of Excellence
Macro – The Power of Light
Carl Baasel Lasertechnik
GmbH & Co. KG
Headquarters Laser Micro
Petersbrunner Strasse 1b
82319 Starnberg
Germany
Phone:
Fax:
E-Mail:
60
+49 (0) 81 51 · 7 76-0
+49 (0) 81 51 · 7 76-1 59
[email protected]
Lasers have become standard cutting, welding
and surface treatment tools in many modern
production processes. Thanks to clean cut edges,
high-strength welded seams and flexible contour processing – the main focus of high-power
lasers is in sheet metal processing and in the
automotive industry.
Product range:
CO2 lasers in the power range from
100 W–10,000 W
Solid-state lasers from 550–6,000 W
Diode lasers in the power range from
30 W–5,000 W
Whether standard or customized systems, the
customer can rely on Rofin’s expertise. Laser
marking has become synonymous in the industry for fast, permanent, non-contact marking
of millions of parts every day. Whether it is the
semiconductor and electronics industry, automobile production, consumer goods or medical
devices – the number of potential applications
is likely to be unlimited.
Product range:
CO2, diode- and lamp-pumped marking lasers
and customized systems in the power range
from 3 W to 130 W.
Rohwedder Microtech
www.rohwedder-microtech.de
Rohwedder Microtech
GmbH & Co. KG
Small. Fast. Precise.
Micro components, speed and quality, flexibility
and viability – our customers have to face these
challenges every day. Our high-performance
microassembly systems are in a position to
meet your requirements of output and quality
without any restrictions.
System Solutions for
Micro Assembly Technology
SMT inductors
Product range
Due to our extensive manufacturing experience
we provide a comprehensive range of services
from consulting and development through to
project management and system implementation for the following four key aspects of activity:
About us
• High performance production lines for micro
electronic and electromechanical components
• Systems for high precision electronics
components manufacturing
• Special high volume placement systems for
Flip Chips and SMD applications
• Automated plastic solutions for the encapsulation and overmolding of small components
In former times Rohwedder Microtech was an
internal provider for assembly systems of the
Siemens AG.
Furthermore you can profit from the unique
portfolio of the Rohwedder Group, which is focused on three principle areas:
Since 2003 Rohwedder Microtech is a company
of the Rohwedder Group.
Full-size and micro assembly systems, electronic
production and plasma, solar and vacuum coating technologies.
Barcode reader
Eisenbahnstrasse 9
76646 Bruchsal
Germany
Phone:
Fax:
E-Mail:
+49 (0) 72 51 · 73-43 90
+49 (0) 72 51 · 73-22 53
[email protected]
Internet: www.rohweddermicrotech.de
Managing Director:
Dr. Hans Erne
Founded: 2003
Staff in 2003: 80
(Rohwedder Group: 800)
Sales in 2003: approx. 15 million Euro
(Rohwedder Group: 103 million Euro in
2002)
Headquarter: Bruchsal (Baden)
Sales Manager:
Joerg Pausch
[email protected]
Sales: world-wide
In addition the complementary technology segments of our business form an integral part of
our complete systems solution approach.
Reel-to-Reel High Volume Flip Chip Assembly Line
61
Rohwedder Pematech
www.rohwedder-pematech.de
Rohwedder Pematech GmbH –
System Solutions for Electronic Production
Rohwedder Pematech
GmbH
Robert-Gerwig-Strasse 23–25
78315 Radolfzell
Germany
Phone:
Fax:
E-Mail:
+49 (0) 77 32 · 80 07-1 00
+49 (0) 77 32 · 80 07-1 87
[email protected]
Internet: www.rohwedderpematech.de
Managing Director: Klaus Kroesen
Customers: The entire electronics
industry, including the telecommunications sector, automotive suppliers,
industrial electronics, white goods
and many other sectors.
Representations: England, France,
Spain, USA, and Australia
This fully automated production line for printed circuit boards for the automotive industry is a system solution that handles
the functions of depanelization, robot activity, ICT and FKT testing, laser labeling and palletizing
Subsidary:
Rohwedder Asia Pacific Sdn. Bhd.
Plot 205, Zon Perindustrian Bebas 3
Jalan Kampung Jawa / 11900 Penang
Malaysia
Phone: +60 4 · 64 11-3 00
Fax:
+60 4 · 64 11-1 87
E-Mail: [email protected]
From special assembly machines, depanelization and assembly processes to complex
testing processes of electronic components,
Rohwedder Pematech delivers semi-automated and fully automated system solutions
world-wide.
By collaborating with our customers we develop
customized system solutions in the pursuit of
offering precision-aligned processes not only
quickly, but also at a competitive price.
Boards handled by Scara robots
Technologies & Processes:
• Test Cells
• Adapters and Fixtures
• Integration of Measuring Technique
• Depanelizers
• Handling Modules
• Marking Systems
• Various Assembly Processes
• Special Assembly Machinery
Fixture with inlay technology
62
Gebr. Schmid
www.schmid-online.de
Headquarters of Gebr. Schmid in
Freudenstadt
Gebr. Schmid GmbH + Co.
Robert-Bosch-Strasse 32–34
72250 Freudenstadt
Germany
Modular process equipment for
individual needs
The process equipment of Gebr. Schmid can be
built up from a combination of Combi Line
modules and systems which allow full flexibility
in the selection of spray and surge technology,
pumps and filters as well as measuring and
monitoring devices. Because of the high level of
standardisation, existing production lines can
be easily extended. This concept makes it possible to react to future trends in a very flexible
way. Another advantage are the reduced stockholding costs of spare parts. Although there are
so many different processes, the spare parts are
interchangeable.
Successful areas of application
Schmid supplies equipment for the complete
production line. The following market segments
are covered:
• Surface Treatment
This category comprises all processes dealing
with the treatment of surfaces, such as preand post-cleaning, brushing and levelling
machines, oxide replacement units, electroless tin processes and the regeneration of
copper.
• PTH Technology
Plating Through Hole (PTH) includes the processes Desmear (cleaning of drilled holes),
Direct Plating and Electroless Copper (generating of conductivity through via holes, blind
vias and on dielectrics) as well as horizontal
galvanisation with the Electroplater Segmenta.
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 74 41 · 5 38-0
+49 (0) 74 41 · 5 38-1 21
[email protected]
www.schmid-online.de
Managing Directors:
Dieter Schmid, Christian Schmid
Founded: 1864
Staff in 2003: 420 world-wide
Production area: approx. 18,000 m2
Location headquarters: Germany
Location subsidiaries:
China, Hong Kong, Taiwan, USA
Sales: world-wide
Products:
Process and automation equipment for
the PCB, FPD and photovoltaic manufacturing industry
The Schmid horizontal wet process equipment
and automation equipment meets the specific
requirements of the PCB, FPD and photovoltaic
manufacturing industry with regard to cleanroom capability, machine reliability and process
stability.
• Automation
Systems are available for loading, unloading,
transporting, diverting, buffering, centring,
turning, running-in and running-out cycles.
• Resist Technology
Includes all processes dealing with the structuring of circuit boards and the application of
dry films. From lamination to development,
etching and stripping.
Horizontal precleaner in clean room environment
www.schmid-online.de
63
SCHNEEBERGER
www.schneeberger.com
Company Profile
SCHNEEBERGER GmbH
Gräfenau
75339 Höfen/Enz
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 70 81 · 7 82-0
+49 (0) 70 81 · 7 82-1 24
[email protected]
www.schneeberger.com
Switzerland
W. SCHNEEBERGER AG
Phone: +41 (0) 6 29 18 41 11
Fax:
+41 (0) 6 29 18 41 00
E-Mail: [email protected]
Germany
SCHNEEBERGER GmbH
Phone: +49 (0) 70 81 · 7 82-0
Fax:
+49 (0) 70 81 · 7 82-1 24
E-Mail: [email protected]
Italy
SCHNEEBERGER Italiana S.p.A.
Phone: +39 0 33 11 93 20 10
Fax:
+39 0 3 31 93 16 55
E-Mail: [email protected]
Japan
Nippon SCHNEEBERGER K.K.
Phone: +81 3 57 79-73 39
Fax:
+81 3 34 87-60 10
E-Mail: [email protected]
USA
SCHNEEBERGER Inc.
Phone: +1 7 81 · 2 71-01 40
Fax:
+1 7 81 · 2 75-47 49
E-Mail: [email protected]
Ever since W. Schneeberger AG was founded in
1923, the company has grown continuously.
What originally began as a small handicrafts
operation is now an internationally active group
of firms employing over 500 people. With its
own subsidiaries companies in Germany, Italy,
Japan and the USA, as well as exclusive agents
in over 25 other countries, the machine builder
market is actively covered world-wide with a
strong sales and support organization. The
headquarters are located in Switzerland; production plants are in Switzerland and Germany.
Field of actions
With the first linear guideways developed more
than 50 years ago, SCHNEEBERGER laid the
foundation for the linear technology which today is being utilised all over the world. Since
then, time and again we launch pioneering
product innovations on the market, which sets
the standard for modern linear technology.
We provide our customers with products and
system solutions, which are technically and economically superior.
Main products
• MONORAIL: Roller and Ball linear guideways,
both with integrated magnetic measuring
system
• Components: Miniature guideways,
linear bearings, slides, recirculating units
• Systems: Standard positioning systems,
high integrated postioning systems
• Mineral Casting: RHENOCAST® mineral
casts for innovative solutions in equipment
construction and machine building
• Special guideways: High accurate special
guideways for various applicatons
Customers
Our customers are mainly located in the semiconductor-, machine tool- and woodworking
industry. In addition, we serve with our prodcuts as well the medical, metrology, biotechnology, handling & automation and robotic markets.
64
Linear technology for semiconductor and
electronic applications
Our standard product range Elements, Minirail
and Standard Positioning Systems provides the
customers efficient and economic products for
high precision demands as well as for cleanroom and vacuum applications.
In addition, SCHNEEBERGER
offers our customers the
relevant customized positioning system
know-how.
The SCHNEEBERGER
positioning system solutions
combine the
best possible
quality, reliability and cost efficiency.
The fields of application are scanning and point
to point inspection under high vacuum conditions, as well as highly dynamic systems for
printed circuit component insertion or various
bonding applications.
The expectations of these applications are always high demands of the positioning and operating sequence accuracy down to requirements in the nanometer range.
The systems solutions are powered by ballsrcew
drives, linearmotors, or piezo-electric motors.
The position control takes place by means of
high resolution measuring systems.
SEHO Seitz & Hohnerlein
www.seho.de
Future Oriented
High Tech Soldering Machines
SEHO is one of the leading manufacturers of
high-tech soldering machines. A close cooperation with industrial business partners as well as
universities combined with intensive research
activities at SEHO’s R & D department enables
SEHO to develop new technologies and continuously improve existing soldering processes. This
strategy results in the most advanced soldering
machines to surpass present customer requirements and anticipate future technology demands.
Dross Free and Lead Free Wave Soldering
SEHO’s patented full tunnel nitrogen technology ensures extremely low residual oxygen levels at simultaneously low nitrogen consumption values. This not only ensures no dross in the
process chamber but permits higher soldering
temperatures and improved wetting when
using lead free solders.
The ability of the machines to operate without
dross and the low consumption of nitrogen and
energy results in a remarkable reduction of
manufacturing costs and at the same time protects our environment.
Automatic Selective Soldering
Together with customers, SEHO has developed
different concepts for selective soldering. The
miniwave system with robotic handling assures
short cycle times and highest process flexibility
and accuracy.
SEHO
Seitz & Hohnerlein GmbH
Frankenstrasse 7
97892 Kreuzwertheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 93 42 · 8 89-0
+49 (0) 93 42 · 8 89-2 00
[email protected]
www.seho.de
With the selective laser soldering systems special applications, such as soldering of 3D-MID
printed circuit boards, are possible.
Reflow Soldering with Low Costs of Ownership
SEHO has perfected the performance and
design of the range of reflow systems which
operate with lowest energy and nitrogen costs.
Latest heating zone technology and an optimized gas leading principle ensure best possible
reflow soldering results. Moreover, these systems are equipped with a multi-stage process
gas cleaning, enabling maintenance intervals of
6 months.
Your Advantages as a Customer of SEHO
You will benefit from our years of experience in
the field of automated soldering. Optimize your
production process and develop new ideas for
your company by using our know-how. SEHO’s
world-wide sales and service network guarantees a fast response to all your questions and
demands and our experienced process engineers always will be happy to assist you in implementing new production processes or to optimize the performance of your soldering equipment.
65
Siemens Dematic
Siemens Dematic AG
Electronics Assembly Systems
Rupert-Mayer-Strasse 44
81359 Munich
Germany
Phone:
Fax:
E-Mail:
+49 (0) 89 · 2 08 00-2 76 00
+49 (0) 89 · 2 08 00-3 66 92
siplace@
mchrm.siemens.de
Internet: www.siplace.com
Siemens Dematic AG
Electronics Assembly Systems
SIPLACE – Benchmark Through Innovation
Siemens Dematic Electronics Assembly Systems
Division is a world leading provider of complete
automation solutions for the electronics industry. Solutions that – thanks to their complete
compatibility with the customer’s needs –
guarantee a safe, long-term investment with
maximum returns throughout the lifetime of
the equipment.
Siemens Dematic AG’s Electronics Assembly
Systems Division is committed to provide complete, single-source assembly systems and solutions for the electronic manufacturing industry
around the world. The division’s highly innovative automation solutions include SMT (Surface
Mount Technology) placement systems, mechoptronics equipment with optical 3D inspection
products, optical fiber splicing equipment and
laser drilling systems.
The SIPLACE Placement Systems –
the Number One in Electronics Production
With its modular, highly scalable and compatible SMT placement systems, Siemens Dematic
offers an upmost grade in manufacturing flexibility combined with the advantage of investment protection. Siemens Dematic pioneers
many innovations as a trendsetter in the electronics industry and speeds up its development
SIPLACE: World class training, service and support
as a whole. Tried and tested for many years and
awarded with numerous prizes, they set the
standard in surface mount assembly and have
made SIPLACE the world’s undisputed number
one in this demanding industry.
The base of every SIPLACE solution is the unique
design concept. SIPLACE stands for flexibility,
modularity and a common machine platform.
SIPLACE platform is a result of Siemens Dematic’s
modular design and manufacturing philosophy.
It provides a complete SMT solution, that is
100 % scaleable, highly flexible and perfectly
integrateable with the customer’s manufacturing requirements and infrastructure. Modular
design concept also entails the overall production line with regard to the entire line configuration. In addition to common hardware SIPLACE
provides software packages that cover the
entire manufacturing line, starting from comprehensive monitoring and control tools to
traceability and shop floor management
systems. This way, SIPLACE guarantees its customers an optimum of line utilization. Additionally, the backbone of every SIPLACE SMT solution are the SIPLACE Services like process consulting or easy training and qualification for
every level and task.
SIPLACE lines are modular, innovative and offer perfect
investment protection.
The most flexible SIPLACE HF/3
66
Siemens Dematic
www.siplace.com
Siemens Dematic AG
Electronics Assembly Systems
SD EA 1 SIPLACE
Rupert-Mayer-Strasse 44
81359 Munich
Germany
Siemens Dematic EA Business Units:
Mechoptronics and Substrate Technology
In addition to SMT placement machines,
Siemens Dematic’s Electronics Assembly Systems Division is engaged in a variety of other,
promising fields of activity, including substrate
technology and mechoptronics.
Phone:
Fax:
E-Mail:
+49 (0) 89 · 2 08 00-2 76 00
+49 (0) 89 · 2 08 00-3 66 92
siplace@
mchrm.siemens.de
Internet: www.siplace.com
MICROBEAM™ series laser drilling machine.
Siemens Dematic AG
Siemens Dematic EA Mechoptronics
Siemens Dematic EA Substrate Technolgy
SD Substrate Technology business develops and
provides innovative equipment and technologies for PCB Manufacturing and Electronic
Packaging industries.
The component miniaturization demand the combination
of very fine circuit path structures with smallest in diameter
drillings.
These include laser systems for structuring and
drilling of printed-circuit boards and substrates.
Very fine circuit path structures are becoming a
prerequisite for maximizing the packing density.
Siemens Dematic Mechoptronics combines precision mechanics with complex optics, and high
class electronics with software. It provides
sophisticated optical pattern recognition systems that utilize state of the art electronics and
advanced engineering methodology. 3D inspection systems, field and factory optical fiber
splicers, and biotechnological equipment are
pushing innovation in various fields. The product range covers different kinds of fine mechanical-optical products, such as LWL splicing tools,
bio-sensor based products for automated protein analysis, and 3-D sensors. With the new
generation of optical high speed inspection systems (SISCANTM high speed multi channel
confocal microscope series) Siemens Dematic
Mechoptronics offers best in class products
with patented measurement technology.
Electronics Assembly Systems
SD EA3 Mechoptronics
Zielstattstrasse 40
81379 Munich
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 2 08 00-4 94 10
+49 (0) 89 · 2 08 00-4 83 24
[email protected]
www.mechoptronics.com
Siemens Dematic AG
Electronics Assembly Systems
SD EA 4 Substrate Technology
Werner-von-Siemens-Strasse 9
76646 Bruchsal
Germany
Phone:
Fax:
E-Mail:
+49 (0) 72 51 · 73 42 22
+49 (0) 72 51 · 73 29 76
info.ea4@
mchrm.siemens.de
Internet: www.micro-beam.com
SISCAN™: a highresolution optical
3D inspection
system for surface measurement.
MICROBEAM laser systems create smallest in
diameter drillings necessary for continuous
bonding of one circuit path level to the next.
The Siemens Dematic MICROBEAM laser systems combine laser technology with a specially
developed control system. It is therefore possible to achieve unprecedented speeds and a high
throughput at minimum processing times.
Depending on the specific application, UV solidstate lasers or CO2 gas lasers are used for this
task.
3D inspection of laser welded joints by
using the SISCAN™ optical inspection
method.
67
SUSS MicroTec
Spin Coaters
Supplier of production and process technology
for the semiconductor industry
SUSS MicroTec AG
Schleissheimer Strasse 90
85748 Garching
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 3 20 07-0
+49 (0) 89 · 3 20 07-1 62
[email protected]
www.suss.com
Chief Executive Officer:
Dr. Franz Richter
Chief Financial Officer:
Stephan Schulak
Year of Foundation: 1949
Sales: € 127.5 million (2002)
Employees: 720 (2003)
Production sites world-wide: 6
Key Markets:
Advanced Packaging
Microsystems Technology
Optoelectronics
SUSS MicroTec AG is a leading-edge equipment
supplier of solutions for Advanced Packaging,
MEMS, Compound Semiconductor, silicon-oninsulator, and 3D Interconnect markets. SUSS
manufactures a complete line of photoresist
and dielectric coat/bake/develop systems,
microlithography exposure systems, wafer and
device bonders, probers, photomasks, cleaners
and etchers used for superior production and
R&D.
SUSS’s advanced SupraYield and nanoPREP surface activation delivers leading performance in
1X full-field-lithography systems (1XFFL) and
bonding, respectively. SUSS is well known for
high quality equipment backed by global service, applications and product support; and is
consistently recognized by customers as one of
“VLSI’s Top 10” in satisfaction. Headquartered in
Munich, Germany, SUSS provides support from
sales and service centers in North America,
Europe and Asia.
SUSS MicroTec provides a complete spin coater
product range from economic low-volume laboratory tools to high-end production coating
equipment for 300 mm wafers. The flexible
architecture allows for attachment of various
process modules or subsystems, which enhances
customization. The designed upgrade path facilitates the implementation of the long-range
technology roadmap.
1X Full-field-lithography
1X Full-field-lithography
SUSS 1X full-field-lithography systems (1XFFL)
are renown in the industry for their superior
performance and reliability. SUSS manual mask
aligners can be found in virtually every R&D
laboratory where high resolution and accuracy
are key requirements. SUSS MicroTec’s production mask aligner family combined with our
SupraYield® technology make the fastest and
most productive lithography systems available
in their class.
Substrate Bonders
With its extensive portfolio of bonder products
SUSS is the most comprehensive bonder supplier in the semiconductor process equipment
market. SUSS’ latest generation of surface
activation and cleaning technology opens new
doors for bonding applications from advanced
packaging and optoelectronics to silicon on insulator (SOI).
68
SUSS MicroTec
www.suss.com
Testing
Wet processing
Test Systems
SUSS is the global partner for all analytical probing requirements, offering versatile manual,
semi- and fully automatic systems for virtually
any application from research to complex production testing. Our turnkey solutions cover the
whole spectrum from ultra low signal to RF
measurements, and can be configured to test a
range of DUT’s incl. 300 mm substrates as well
as MEMS & Hybrids.
Device Bonders
With more than 150 flip-chip bonders installed
world-wide, SUSS offers the most accurate and
versatile device bonders commercially available.
SUSS device bonders support the complete
range of bonding applications like optical packaging using high speed passive alignment, FPAs,
LCD drivers, MCMs, and more.
Bonding
69
TRUMPF Laser
www.trumpf-laser.com
Pioneer in Laser Technology
TRUMPF Laser
GmbH + Co. KG
TRUMPF Laser, located in Schramberg, is one of
the pioneers in laser production technology.
Over 600 employees work in TRUMPF Laser’s
development, production, sales, service and
administration. They guarantee a maximum of
product reliability and product quality.
Aichhalder Strasse 39
78713 Schramberg
Germany
Phone:
Fax:
E-Mail:
+49 (0) 74 22 · 5 15-0
+49 (0) 74 22 · 5 15-1 08
info.yag@
de.trumpf-laser.com
Internet: www.trumpf-laser.com
Product range:
• Pulsed solid-state lasers of 20 W to
500 W mean power for pulse output
up to 18 kW
• Lamp and diode-pumped cw solidstate lasers up to 4,5 kW guaranteed
power at the workpiece
• Solid-state lasers with divers wavelenghts (from 355 to 1064 nm)
• Manual work places and laser
machines with several axes
• Laser marking systems with handling
Know-How in Processing Technology
TRUMPF Laser has given special attention to the
process development right from the beginning.
Today, it is one of the world-wide market leaders in solid-state laser technology.
Laser welded housing of an optoelectronic component.
Leading Solid-State Laser Technology
• Electrical and electro-optical components
such as connectors, switches, relays, capacitors or sensors
70
TRUMPF Laser was the first company to introduce the use of laser light cables for welding
and cutting and continuously enhanced this
technology, e.g. by introducing the TRUMPF
LASERNETWORK.
TRUMPF Laser develops, manufactures and
markets industrial lamp- and diode-pumped
solid-state lasers. These lasers are used for
welding, cutting, marking, soldering, drilling
and surface treatment. Applications are found
in broad areas of many industry branches, like
electrical and precision engineering, medical
technology, automotive and aerospace industry,
consumer electronics industry and domestic
appliances.
The most common applications of TRUMPF
Laser products are welding, cutting and
marking of:
Laser with optical
components for
beam switching supplying in
several laser light
cables.
• Components for consumer electronics from
TV sets to CD players
• Parts for electric shavers, tooth brushes and
refrigerators
• Power generating components as solar cells or
turbine blades
• Components for antilock-brakes, injection
valves, instrumentation and illumination in
the automotive industry and its suppliers
• Medical components as cardiac pacemakers,
endoscopes or implants
Laser welded battery of a mobile phone.
Solid-state lasers from TRUMPF Laser are distinguished by excellent beam quality and constancy, allowing high production speeds while
maintaining constant quality and processing
reliability. In the field of high-powered disk
lasers TRUMPF Laser was also the first to have a
serial product with high power and high beam
quality.
With the telepresence function TRUMPF Laser is
able to provide support within minutes. The
lasers are equipped with numerous sensors
that constantly monitor over 100 different
values. Telepresence minimizes downtimes as
well as time-consuming and cost-intensive
service visits.
Training and further education of operating
personnel are essential. Here TRUMPF Laser provides training courses in machine operation,
maintenance and programming.
TRUMPF Laser Marking Systems
www.lasermarking.trumpf.com
Global Market Leadership
The TRUMPF Group is developing and manufacturing marking lasers for more than a decade.
With several thousand installed VectorMark
marking lasers TRUMPF is one of the global
leaders in this market. The product range comprises various levels of laser power and three
available wavelengths (1064, 532, and 355 nm).
This allows TRUMPF to offer the most suited
solution to each customer’s specific application.
TRUMPF Laser Marking
Systems AG
Ausserfeld
7214 Grüsch
Switzerland
Fields of Activity
TRUMPF Laser Marking Systems AG develops,
manufactures and markets solid-state lasers for
marking. The international sales and after-sales
service in the different countries are available
by the TRUMPF subsidiaries.
TRUMPF Laser Marking Systems AG’s products
furnish proof of their reliability in daily operation in various manufacturing areas. As marking
lasers have a wide range of application, metals
and plastics can be processed as reliably as ceramic materials. Laser technology allows highly
productive processes and is substituting more
and more traditional marking technologies.
The most common applications of TRUMPF
laser marking systems are:
• Marking of components in the electronics
industry:
– ICs (integrated circuits)
– Packaging for electronic components,
such as resistors, capacitors, relays, etc.
– PCBs (printed circuit boards)
– Implementation of values generated from
online measurement for classification or
calibration purposes
– Individual marks, such as clear text, bar or
data-matrix codes to ensure absolute
traceability as required in state of the art
high volume productions.
Phone:
Fax:
E-Mail:
Product information miniaturized: laser marked electronic
+41 81 · 30 76-5 55
+41 81 · 30 76-4 13
info@lasermarking.
trumpf.com
Internet: www.lasermarking.
trumpf.com
Advantages of Laser Technology
• The specific advantages of the VectorMark
diode pumped marking laser are:
– Wide variety of materials which can be
marked
– Easy to operate systems and marking
software
– Various, fine marking geometries
– High beam quality resulting in high
precision and reproducibility
– Poorly accessible points are easily reachable
– High marking speed resulting in shorter
processing times
– Systems are designed for reliable use in
multi-shift-operations
– Simple integration in automated production lines
– Open interface architecture
– No preliminary or rework necessary
– Non-contact marking
– Ecological process
Product range:
TRUMPF Laser Marking Systems AG
offers a complete range of marking
lasers for integration in automated
production lines as well as complete
laser marking systems with handling.
The lasers are available in wavelengths
of 355 nm, 532 nm and 1064 nm.
• Marking of products in diverse industries:
– Electrical and electro-optical parts
– Components for TV sets to CD players in the
consumer electronics industry
– Components of domestic appliances
– Modules of the automobile industry
– Tools for drilling, milling and turning
– Medical instruments and implants in the
medical industry
Indispensable these days: product traceability for electronic components
71
Viscom
www.viscom.de
e. g. components, solder joints, and paste print
quality in a fast and reliable way. Outstanding
features of these systems are the optional doubletrack operation and the powerful 4M-Sensor
Technology which guarantee maximum depth
of inspection even in extreme cycling time
requirements. With the user interface EasyPro
inspection programs can be created and optimized quickly.
Viscom AG
Carl-Buderus-Strasse 9–15
30455 Hannover
Germany
Phone:
Fax:
E-Mail:
Internet:
Customer Specific Image Processing Systems:
Viscom’s comprehensive offering ranges from
completely independent testing stations to
modules for integration into existing production facilities for a wide range of applications.
+49 (0) 5 11 · 9 49 96-0
+49 (0) 5 11 · 9 49 96-9 00
[email protected]
www.viscom.de
Members of the board:
Volker Pape
Martin Heuser
Founded: 1984
Staff in 2003: 180 world-wide
Sales Contact:
Kerstin Lüders
E-Mail: [email protected]
The inspection solutions are specifically designed to the requirements of our customers,
e. g. to inspect completeness or checking outside dimensions, symmetry, color and surface
condition.
Founded in 1984, Viscom has been a pioneer
and innovator in industrial image processing.
Since the company’s beginnings in customized
vision projects, Viscom has grown to become
one of the most important suppliers of standardized optical inspection systems world-wide.
The company is engaged throughout all branches
of the manufacturing industry, especially in the
electronics and automotive industry as well as
the automotive supplier industry. Highly-qualified engineers with great project experience
guarantee the reliability of the technology we
supply with competent service and excellent
support world-wide.
Jürgen Brag
E-Mail: [email protected]
Phone: +49 (0) 5 11 · 9 49 96-1 00
E-Mail: [email protected]
Internet: www.viscom.de
Branches and Representatives
world-wide
72
Micro System Inspection:
This business unit is emphasizing on the optical
inspection technologies for semiconductor and
ceramics production with its micro- and nano
structures. Utilizing all the years of experience
in industrial vision and in the electronic industries, products like wire bond and thick film inspection systems are realized.
Wide Range of Inspection Applications
X-Ray Inspection:
Non-destructive testing with X-ray technology
allows to detect even concealed faults. Viscom
X-ray inspection systems are designed for manual, semi-automatic and fully automatic in-line
inspection, as well as for combined optical and
X-ray inspection (AOI/AXI).
Automatic Optical Inspection of Electronic
Assemblies:
The optical and X-Ray PCB-A inspection is a focal
point in the range of Viscom products. These
inspection systems check the various production states of assembled printed circuit boards,
A broad range of filter functions and automated
analysis tools for a convenient operation are
available. Viscom’s open micro focus tubes
range from 80 to 225 kV and have set new
standards for the automatic inline X-Ray inspection.
WIKA
www.wika.de
Production at the highest stage
Excellent long-term stability
When developing our mechanical and electronic
UHP pressure measuring instruments, we take
the standards and recommendations into consideration that are relevant for ultra high purity
applications (SEMATECH and SEMI):
Our sensors are manufactured using a special
thin film process, the so called sputtering process. In this process the applied diaphragm
material, the insulation and resistor layers join
together to form an atomic bond. Together with
the temperature compensation resistors that
are directly integrated in the sensor layout an
excellent long-term stability is achieved.
• Recommendations for instrument sizes
• Material and surface specifications
• Manufacturing according to ultra high
purity guidelines
• Cleaning and rinsing of wetted parts
• Handling and double packaging of the
finished instruments
Reliable sensors
The heart of the WIKA ultra high purity transducers and pressure switches are specially developed thin film sensors of Elgiloy®. This material has established itself in the UHP market and
is used for nearly 100 % of all diaphragms in
UHP valves and controllers. Elgiloy® particular
characteristics are:
Optimal protection against torsional stresses
In the development of the transducer the zero
point stability of the sensors, even in the case of
torsional stresses acting upon them, is of greatest importance to us. The influences of such
forces, which can particularly occur when flow
through transducers are connected, are eliminated by the specially developed ultra high purity sensors as well as specially adapted welding
processes. A further positive effect of these
measures: There is no more need for frequent
recalibrations.
• High corrosion resistance
• Excellent hysteresis behaviour
Pressure and
Temperature Measurement
WIKA
Alexander Wiegand
GmbH & Co. KG
Alexander-Wiegand-Strasse
63911 Klingenberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 93 72 · 1 32-0
+49 (0) 93 72 · 1 32-40 6
[email protected]
www.wika.de
WIKA: Your specialist for ultra high
purity applications.
For more than 50 years the name WIKA
has been standing for innovation and
quality in the field of pressure and
temperature measurement. Worldwide over 4,000 employees are committed to meeting highest quality
standards to ensure that WIKA will
continue to keep its top ranking in the
world´s market.
A further core value of our company is
an optimal closeness to our customers.
This is why WIKA is represented with
own subsidiaries in 27 countries all
over the world.
Today more than 30 million measuring
instruments are delivered year in, year
out, in more than 100 countries –
world-wide some 300 million WIKA
measuring instruments are in use.
Continual improvements and new developments are realised to guarantee
that WIKA is always one step ahead in
the field of ultra high purity applications.
Many years of experience and sophisticated
manufacturing processes applied by WIKA for
the production of Elgiloy® sensors for precision
pressure measuring instruments guarantee
maximum possible reliability.
WIKA Product
Portfolio UHP
WIKA Cleanroom
Production
73
Peter Wolters
www.peter-wolters.com
Creating the future
Peter Wolters
Surface Technologies
GmbH & Co. KG
Büsumer Strasse 96
24768 Rendsburg
Germany
Phone:
Fax:
E-Mail:
+49 (0) 43 31 · 4 58-0
+49 (0) 43 31 · 4 58-2 90
wolters@
peter-wolters.com
Internet: www.peter-wolters.com
Managing Directors:
Kay Petersen, Dr. Carl-Ulrich Bauer
For more than 60 years PETER WOLTERS has
been supplying high precision solutions for the
semiconductor, metal, glass and ceramics industries using lapping, polishing and fine-grinding technologies for flat workpieces. PETER
WOLTERS is supporting customers world-wide
with it’s own sales and service organisation.
PETER WOLTERS technology is being used wherever flat workpieces demand the highest quality
of surface finish, parallelism, flatness and accuracy to achieve the most economical result per
piece.
With its more than 30 years tradition supplying
the semiconductor market, PETER WOLTERS is
the world-wide market leader for 300 mm doubleside polishing of silicon wafers. With its 3rd
generation 300 mm prime wafer polisher, the
AC 2000-P2, PETER WOLTERS is again setting a
ing applied to produce a planar wafer surface in
a nanometer scale by removing material from
uneven topography. This enables better subsequent photo-lithography processes for smaller
design rules and consequently smaller chip applications. PETER WOLTERS has been the first
new benchmark in quality, efficiency and low
cost of ownership. In-house developed world
leading automations are also available for all
systems.
company world-wide to supply turn key CMPtools for a 300 mm chip production fab. The
latest PETER WOLTERS CMP product, the HT
CUBE, features the highest throughput worldwide. The future of MEMS technology (Micro
Electro Mechanical Systems) enable remote
miniature pressure sensors, gyros or biological/
medical devices and also depend on advanced
CMP processes which PETER WOLTERS can offer.
Founded in: 1804
Staff in 2003: 260
Turnover in 2002:
approx. 60 million Euro
Subsidiaries: Southern Germany,
France, Great Britain, USA, Japan, China
Being a market leader in planarization, research
and development have a high priority in our
company. Partnerships with key customers as
well as scientific institutes ensure that our
product developments are tailored to market
requirements.
Modern diesel systems (Common Rail and Unit
Injector) producing already up to 2,500 bar are
also very successful applications where PETER
WOLTERS precision enables low emission injection systems.
Two Deburring-Finishing-Systems (rotary and
linear setup) complement a grinding process
and complete the PETER WOLTERS product range
for the fine-blanking and metal industries.
CMP (Chemical Mechanical Planarization) Technology is the key to the most advanced logic
and memory chips being produced for all kinds
of electronic appliances. The CMP process is be-
74
For all solutions
PETER WOLTERS
is committed to
supply the machine, the customer individual process and
consumables as a turnkey system.
PETER WOLTERS offers a complete customer
service – from the delivery of turnkey solutions,
initial application evaluation, process development, equipment specification, training of operating personnel, on-site service and support
of the individual processes through to technology transfer and start up.
The complete solution from one source
Micro Technology
Focus on
Micro Technology
Micro Technology accompanies and guides us to the future. Micro
Technology in products or production systems and production
systems for Micro Technology are of growing importance. Preserving
the environmental future of the earth, you need the microsystems
within parts, components and technical systems. Micro techniques
are the basic requirements on the road to the future.
The VDMA Micro Technology association is the industry-led Europeanoriented Micro Technology association under the roof of VDMA.
Are you ready to meet the players in this innovative business? Order
our brochures and find out more at www.micro-technology.org.
www.
.org
micro-technology
List of suppliers
B




Brooks Automation GmbH


CGS Crystal Growing Systems GmbH
43

contrade Microstructure Technology GmbH
44














45






42
Centrotherm Elektrische Anlagen GmbH & Co.
CS Clean Systems AG












DMS Dynamic Micro Systems Semiconductor Equipment GmbH 46


Dittel Cleanroom Engineering






DORST Maschinen- und Anlagenbau GmbH & Co
E

E+H Eichhorn + Hausmann GmbH
47

EKRA Eduard Kraft GmbH
48










Entegris Europe GmbH

ERS Elektronik GmbH
F
G

ERSA GmbH
49
F&K Delvotec Bondtechnik GmbH
50



O & K Geissler GmbH

GeMeTec Ges. f. Messtechnik u. Technologie GmbH

G&N Genauigkeits Maschinenbau GmbH



















51
ICOS Vision Systems GmbH









INA Lineartechnik oHG
J

52

Jenoptik Laser Optik Systeme GmbH
76
Kettenbaum Folienschweisstechnik GmbH & Co. KG


Jenoptik Microtechnik GmbH
K



DR. JOHANNES HEIDENHAIN GmbH
INA-Schaeffler KG


Hartmetall-Werkzeugfabrik Andreas Maier GmbH
I


Hammerlit GmbH
HÜTTINGER Elektronik GmbH + Co. KG



Maschinenbau GEROLD GmbH & Co. KG
H
Others

Bosch Rexroth AG
DAS Dünnschicht Anlagen Systeme
General contracting

BOS Berlin Oberspree Sondermaschinenbau GmbH
D


Basler AG
C



Carl Baasel Lasertechnik GmbH & Co. KG
Robert Bürkle GmbH
Testing,analytic, measurements, quality control

Vision systems
Clean rooms and components

Laser processing
Automation and handling
Hybrid
Smart cards

Sensors

Data storage


Tools, manufacturing components
ASTEC GmbH

Software
Applied Films GmbH & Co. Kg

Electronic components

Board assembly

Printed circuits

Solar technology
Flat panel displays
ACR Automation in Cleanroom GmbH
Microsystems
A
Semiconductor manufacturing
Company profile on page
Manufacturing solutions for




List of suppliers
L
53
Lach Diamant Jakob Lach GmbH & Co. KG
54





Linn High Therm GmbH


58
M&M Software GmbH
O
P















Ortner c.l.s. GmbH






































Riedhammer GmbH

60


Rohwedder Microtech GmbH & Co. KG
61
Rohwedder Pematech GmbH
62













SAINT-GOBAIN Diamantwerkzeuge GmbH & Co. KG

Sieghard Schiller GmbH & Co. KG
V
W


Rofin/Baasel Lasertech
T


QUISS GmbH
ROFIN-SINAR Laser GmbH
S


Plasma-finish GmbH
59



56


MueTec Automatisierte Mikroskopie u. Messtechnik GmbH
PVA TePla AG
Q
R





Micromotion GmbH
M+W Zander Holding AG


LPKF Laser & Electronics AG
M


Louda Systems GmbH
57
Others

Leica Microsystems Semiconductor GmbH
Manz Automation AG
Tools, manufacturing components


55
Software

L-A-T Suhl AG
MASCHINENFABRIK LAUFFER GMBH + CO. KG
General contracting

Testing,analytic, measurements, quality control

Vision systems

Laser processing

Clean rooms and components

Automation and handling

Hybrid
Electronic components
GTL KNÖDEL GmbH
Board assembly
Klingelnberg GmbH
Printed circuits
Solar technology
Smart cards
Sensors
Data storage
Flat panel displays
Microsystems
Semiconductor manufacturing
Company profile on page
Manufacturing solutions for
Gebr. Schmid GmbH + Co.
63
SCHNEEBERGER GmbH
64
SEHO Seitz & Hohnerlein GmbH
65
Siemens Dematic AG
66










SSE Sister Semiconductor Equipment GmbH

Steremat Elektrowärme GmbH



68


TRUMPF Laser GmbH + Co. KG
70


TRUMPF Laser Marking Systems AG
71

Viscom AG
72

WIKA Alexander Wiegand GmbH & Co. KG
73
Peter Wolters Surface Technologies GmbH & Co. KG
74








































SUSS MicroTec AG























77
Members of the Productronics Association
A
Brooks Automation GmbH
ACR Automation in Cleanroom
GmbH
Johann-Liesenberger-Strasse 7
78078 Niedereschach
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 77 28 · 92 60-0
+49 (0) 77 28 · 92 60-40
[email protected]
www.acr.de
ASTEC GmbH
Am Rothenbühl 7
92348 Berg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 91 89 · 44 04-0
+49 (0) 91 89 · 44 04-20
[email protected]
www.astec-ger.com
B
Carl Baasel Lasertechnik
GmbH & Co. KG
Petersbrunner Strasse 1b
82319 Starnberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 81 51 · 7 76-0
+49 (0) 81 51 · 7 76-1 59
[email protected]
www.baasel.de
Basler AG
An der Strusbek 60–62
22926 Ahrensburg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 41 02 · 4 63-1 75
+49 (0) 41 02 · 4 63-1 08
[email protected]
www.baslerweb.com
BOS Berlin Oberspree
Sondermaschinenbau GmbH
Ostendstrasse 1–14
12459 Berlin
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 0 30 · 53 88 09-13
+49 (0) 0 30 · 53 88 09-11
[email protected]
www.bos-berlin.de
Bosch Rexroth AG
Zum Eisengiesser 1
97816 Lohr
Germany
Phone:
Fax:
E-Mail:
Internet:
78
+49 (0) 93 52 · 18-0
+49 (0) 93 52 · 18-39 72
[email protected]
www.boschrexroth.de
Göschwitzer Strasse 25
07745 Jena
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 36 41 · 65-40 50
+49 (0) 36 41 · 65-41 23
[email protected]
www.brooks.com
Robert Bürkle GmbH
Stuttgarter Strasse 123
72250 Freudenstadt
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 74 41 · 58-0
+49 (0) 74 41 · 58-3 00
[email protected]
www.buerkle-gmbh.de
C
Centrotherm Elektrische
Anlagen GmbH & Co.
Johannes-Schmid-Strasse 3
89143 Blaubeuren
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 73 44 · 9 51-0
+49 (0) 73 44 · 9 51-3 33
[email protected]
www.centrotherm.de
CGS Crystal Growing Systems
GmbH
Wilhelm-Rohn-Strasse 25
63450 Hanau
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 61 81 · 93 36-0
+49 (0) 61 81 · 93 36-1 00
[email protected]
www.cgs-gmbh.de
contrade Microstructure
Technology GmbH
Im Hasenlauf 2
75446 Wiernsheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 70 41 · 96 00 14
+49 (0) 70 41 · 96 00 29
[email protected]
www.contrade.com
CS Clean Systems AG
Fraunhoferstrasse 4
85732 Ismaning
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 96 24 00-0
+49 (0) 89 · 96 24 00-22
[email protected]
www.cscleansys.de
D
DAS Dünnschicht Anlagen
Systeme
Gostritzer Strasse 61–63
01217 Dresden
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 3 51 · 8 71-86 88
+49 (0) 3 51 · 8 71-87 26
[email protected]
www.das.tz-dd.de
Dittel Cleanroom Engineering
Dorfstrasse 11
83671 Benediktbeuern
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 88 57 · 69 41 55
+49 (0) 88 57 · 69 41 55
[email protected]
[email protected]
DMS Dynamic Micro Systems
Semiconductor Equipment
GmbH
Im Wiesengrund 17
78315 Radolfzell
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 77 32 · 92 67-0
+49 (0) 77 32 · 92 67-2 0
[email protected]
www.dms-semi.de
DORST Maschinen- und
Anlagenbau GmbH & Co.
Mittenwalder Strasse 61
82431 Kochel
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 88 51 · 1 88-2 14
+49 (0) 88 51 · 1 88-3 46
[email protected]
www.dorst.de
E
E+H
Eichhorn+ Hausmann GmbH
Benzstrasse 9
76185 Karlsruhe
Germany
Phone:
Fax:
E-Mail:
+49 (0) 7 21 · 8 41 18 12
+49 (0) 7 21 · 8 31 18-40
[email protected]
Internet: www.eichhornhausmann.de
EKRA Eduard Kraft GmbH
Zeppelin Strasse 16
74357 Bönnigheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 71 43 · 88 44-0
+49 (0) 71 43 · 88 44-22
[email protected]
wwww.ekra.com
GeMeTec Gesellschaft für
Messtechnik und Technologie
GmbH
Geretsrieder Strasse 10a
81379 München
Germany
Entegris Europe GmbH
Phone:
Fax:
E-Mail:
Internet:
Am Schafbaum 2
74906 Bad Rappenau
Germany
G&N Genauigkeits
Maschinenbau GmbH
Phone:
Fax:
E-Mail:
Wetterkreuz 35
91058 Erlangen
Germany
ERS Elektronik GmbH
Phone:
Fax:
E-Mail:
Internet:
Stettiner Strasse 3 + 5
82110 Germering
Germany
Maschinenbau GEROLD
GmbH & Co. KG
+49 (0) 7264 91 58-0
+49 (0) 7264 91 58-20
karl_heinz_kuch@
entegris.com
Internet: www.entegris.com
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 89 41 32-0
+49 (0) 89 · 8 41 87 66
[email protected]
www.ers-gmbh.de
ERSA GmbH
Leonhard-Karl-Strasse 24
97877 Wertheim
Germany
Phone:
Fax:
E-Mail.:
Internet:
+49 (0) 93 42 · 8 00-0
+49 (0) 93 42 · 8 00-1 00
[email protected]
www.ersa.com
F
+49 (0) 89 · 74 82 52-0
+49 (0) 89 · 74 82 52-70
[email protected]
www.gemetec.de
+49 (0) 91 31 · 75 76-35
+49 (0) 91 31 · 77 12 91
[email protected]
www.grinders.de
Industriestrasse 6
41334 Nettetal
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 21 57 · 8 17-01
+49 (0) 21 57 · 8 17-1 00
[email protected]
www.gerold-mb.de
Hammerlit GmbH
Sägemühlenstrasse 49
26789 Leer
Germany
F&K Delvotec Bondtechnik
GmbH
Daimlerstrasse 5–7
85521 Ottobrunn
Germany
Hartmetall-Werkzeugfabrik
Andreas Maier GmbH
+49 (0) 89 · 6 29 95-0
+49 (0) 89 · 6 29 95-1 00
[email protected]
www.fkdelvotec.com
G
O & K Geissler GmbH
Grubmühlerfeldstrasse 32
82131 Gauting
Germany
Phone:
Fax:
E-Mail:
+49 (0) 89 · 8 56 88-0
+49 (0) 89 · 8 56 88-1 11
info@
geissler-muenchen.de
Internet: www.geisslermuenchen.de
+49 (0) 4 91 · 92 90-0
+49 (0) 4 91 · 92 90-1 84
[email protected]
www.hammerlit.de
Stegwiesen 2
88477 Schwendi
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 73 47 · 61-0
+49 (0) 73 47 · 73 07
[email protected]
www.ham-tools.com
DR. JOHANNES HEIDENHAIN
GmbH
Dr.-Johannes-Heidenhain-Strasse 5
83292 Traunreut
Germany
Phone:
Fax:
E-Mail:
Internet:
Elsässer Strasse 8
79110 Freiburg
Germany
Phone:
Fax:
E-Mail:
+49 7 61 · 89 71-0
+49 7 61 · 89 71-50
info-ec@
huettinger.com
Internet: www.huettinger.com
I
ICOS Vision Systems GmbH
Bajuwarenring 21
82041 Oberhaching
Germany
Phone:
Fax:
E-Mail:
+49 (0) 89 · 61 37 59-0
+49 (0) 89 · 6 25 28 25
stephanus-wansleben@
icos.be
Internet: www.qtec.de
INA-Schaeffler KG
91072 Herzogenaurach
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 91 32 · 82-0
+49 (0) 91 32 · 82-49 50
[email protected]
www.ina.de
K
H
Phone:
Fax:
E-Mail:
Internet:
Phone:
Fax:
E-Mail:
Internet:
HÜTTINGER Elektronik
GmbH & Co. KG
+49 (0) 86 69 · 31-16 32
+49 (0) 86 69 · 3 86 09
[email protected]
www.heidenhain.de
Kettenbaum
Folienschweisstechnik
GmbH & Co. KG
Asselborner Weg 14–16
51429 Bergisch Gladbach
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 22 04 · 8 39-0
+49 (0) 22 04 · 8 39-13
[email protected]
www.joke.de
GTL KNÖDEL GmbH
Hertichstrasse 81
71203 Leonberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 71 52 · 97 45-3
+49 (0) 71 51 · 97 45-50
[email protected]
www.knoedel-online.de
L
Lach Diamant
Jakob Lach GmbH & Co. KG
Donaustrasse 17–21
63452 Hanau
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 61 81 · 1 03-02
+49 (0) 61 81 · 1 03-60
[email protected]
www.lach-diamant.de
79
L-A-T Suhl AG
Micromotion GmbH
PVA TePla AG
Mittelbergstrasse 2
98527 Suhl
Germany
An der Fahrt 13
55124 Mainz
Germany
Hans-Riedl-Strasse 5
85622 Feldkirchen
Germany
Phone:
Fax:
E-Mail:
Internet:
Phone:
Fax:
E-Mail:
Internet:
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 36 81 · 75 74-0
+49 (0) 36 81 · 75 74-20
[email protected]
www.lat-suhl.de
MASCHINENFABRIK LAUFFER
GMBH & CO. KG
Industriestrasse 101
72160 Horb am Neckar
Germany
Phone:
Fax:
E-Mail:
+49 (0) 74 51 · 9 02-0
+49 (0) 74 51 · 9 02-1 00
laufferpressen@
lauffer.de
Internet: www.lauffer.de
Leica Microsystems
Semiconductor GmbH
Ernst-Leitz-Strasse 17–37
35578 Wetzlar
Germany
Phone:
Fax:
E-Mail:
+49 (0) 64 41 · 29-0
+49 (0) 64 41 · 29-25 99
info@
leica-microsystems.com
Internet: www.semiconductor .com
Linn High Therm GmbH
Heinrich-Hertz-Platz 1
92275 Hirschbach
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 96 65 · 91 40-13
+49 (0) 96 65 · 17 20
[email protected]
www.linn.de
LPKF Laser & Electronics AG
Osteriede 7
30827 Garbsen
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 51 31 · 70 95-0
+49 (0) 51 31 · 70 95-90
[email protected]
www.lpkf.de
M
Manz Automation AG
Steigäckerstrasse 13
72768 Reutlingen
Germany
Phone:
Fax:
E-Mail:
+49 (0) 71 21 · 90 00-0
+49 (0) 71 21 · 90 00 99
[email protected]
Internet: www.manzautomation.com
80
+49 (0) 6 69 · 27-0
+49 (0) 6 69 · 27-20
[email protected]
www.mikrogetriebe.de
M&M Software GmbH
Industriestrasse 5
78112 St. Georgen
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 77 24 · 94 15-0
+49 (0) 77 24 · 94 15-23
[email protected]
www.mm-software.de
M+W Zander Holding AG
Lotterbergstrasse 30
70499 Stuttgart
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 71 1 · 88 04-0
+49 (0) 71 1 · 88 04-13 09
[email protected]
www.mw-zander.com
+49 (0) 89 · 9 05 03-0
+49 (0) 89 · 9 05 03-1 00
[email protected]
www.pvatepla.com
Q
QUISS GmbH
Lilienthalstrasse 5
82178 Puchheim
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 8 94 59-0
+49 (0) 89 · 8 94 59-1 11
[email protected]
www.quiss.com
R
REO Elektronik GmbH
Erasmusstrasse 14
10553 Berlin
Germany
MueTec Automatisierte
Mikroskopie u. Messtechnik
GmbH
Phone:
Fax:
E-Mail:
Internet:
Wildermuthstrasse 88
80993 München
Germany
Riedhammer GmbH
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 89 · 1 41 10-66
+49 (0) 89 · 1 41-10 67
[email protected]
www.muetec.com
O
Ortner c.l.s. GmbH
Cleanroom Logistic System
Königsbrücker Landstrasse 5
01109 Dresden
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 3 51 · 8 88 61-0
+49 (0) 3 51 · 8 88 61-20
[email protected]
www.ortner-group.de
Klingenhofstrasse 72
90411 Nürnberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 9 11 · 52 18-0
+49 (0) 9 11 · 52 18-3 03
[email protected]
www.riedhammer.de
ROFIN-SINAR Laser GmbH
Neufeldstrasse 16
85232 Bergkirchen
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 81 31 · 7 04-0
+49 (0) 81 31 · 7 04-1 00
[email protected]
www.rofin.com
Rohwedder Microtech
GmbH & Co. KG
P
Plasma-finish GmbH
Berliner Strasse 126 a
16303 Schwedt/Oder
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 30 · 34 99 28-0
+49 (0) 30 · 34 99 28 88
[email protected]
www.reo.de
+49 (0) 33 32 · 53 89-20
+49 (0) 33 32 · 53 89-35
[email protected]
www.plasma-finish.com
Eisenbahnstrasse 9–11
76646 Bruchsal
Germany
Phone:
Fax:
E-Mail:
+49 (0) 72 51 · 73-43 90
+49 (0) 72 51 · 73-45 21
[email protected]
Internet: www.rohweddermicrotech.de
Rohwedder Pematech GmbH
Robert-Gerwig-Strasse 23/25
78315 Radolfzell
Germany
Phone:
Fax:
E-Mail:
+49 (0) 77 32 · 80 07-1 00
+49 (0) 77 32 · 80 07-1 87
[email protected]
Internet. www.rohwedderpematch.de
SAINT-GOBAIN
Diamantwerkzeuge
GmbH & Co. KG
Schützenwall 13–17
22844 Norderstedt
Germany
Phone:
Fax:
E-Mail:
+49 (0) 40 · 52 58-3 19
+49 (0) 40 · 52 58-2 15
electronics@
saint-gobain.com
Internet: www.electronics.
saint-gobain.com
Sieghard Schiller
GmbH & Co. KG
Pfullinger Strasse 58
72820 Sonnenbühl
Germany
+49 (0) 71 28 · 3 86-0
+49 (0) 71 28 · 3 86 1 99
[email protected]
www.sschiller.de
Gebr. Schmid GmbH & Co.
Robert-Bosch-Strasse 32–34
72250 Freudenstadt
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 74 41 · 5 38-0
+49 (0) 74 41 · 5 38-21
[email protected]
www.schmid-online.de
SCHNEEBERGER GmbH
Gräfenau
75339 Höfen/Enz
Germany
Phone:
Fax:
E-Mail:
TRUMPF Laser Marking
Systems AG
Frankenstrasse 7
97892 Kreuzwertheim
Germany
Ausserfeld
7214 Grüsch
Switzerland
Phone:
Fax:
E-Mail:
Internet:
Phone:
Fax:
E-Mail:
+49 (0) 93 42 · 8 89-0
+49 (0) 93 42 · 8 89-2 00
[email protected]
www.seho.de
Internet:
Siemens Dematic AG
S
Phone:
Fax:
E-Mail:
Internet:
SEHO Seitz & Hohnerlein
GmbH
+49 (0) 70 81 · 7 82-0
+49 (0) 70 81 · 7 82-1 24
info@
schneeberger.com
Internet: www.schneeberger.com
Werner-von-Siemens-Strasse 9
76646 Bruchsal
Germany
Phone:
Fax:
E-Mail:
+49 (0) 72 51 · 73-0
+49 (0) 72 51 · 73 34 50
info@
siemens-dematic.com
Internet: www.siemensdematic.com
SSE Sister Semiconductor
Equipment GmbH
Josef-Schüttler-Strasse 2
78224 Singen
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 77 31 · 189-0
+49 (0) 77 31 · 189-1 00
[email protected]
www.sse-semi.de
Steremat Elektrowärme
GmbH
Bouchéstrasse 12
12435 Berlin
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 30 · 53 32 71-10
+49 (0) 30 · 53 32 71-97
[email protected]
www.sterematew.de
SUSS MicroTec AG
Schleißheimer Strasse 90
85748 Garching
Germany
Phone:
Fax:
E-Mail:
Internet:
+41 81 · 30 76-5 55
+41 81 · 30 76-4 13
info@lasermarking.
trumpf.com
www. lasermarking.
trumpf.com
V
Viscom AG
Carl-Buderus-Strasse 9–15
30455 Hannover
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 5 11 · 9 49 96-0
+49 (0) 5 11 · 9 49 96-9 00
[email protected]
www.viscom.de
W
WIKA Alexander Wiegand
GmbH & Co. KG
Alexander-Wiegand-Strasse 30
63911 Klingenberg
Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 93 72 · 1 32-7 08
+49 (0) 93 72 · 1 32-7 66
[email protected]
www.wika.de
Peter Wolters Surface
Technologies GmbH & Co. KG
Büsumer Strasse 96–104
24768 Rendsburg
Germany
Phone:
Fax:
E-Mail:
+49 (0) 43 31 · 4 58-0
+49 (0) 43 31 · 4 58-2 05
[email protected]
Internet: www.peter-wolters.com
+49 (0) 89 · 3 20 07-0
+49 (0) 89 · 3 20 07-1 62
[email protected]
www.suss.com
T
TRUMPF Laser GmbH & Co. KG
Aichhalder Strasse 39
78713 Schramberg
Germany
Phone:
Fax:
E-Mail:
+49 (0) 74 22 · 5 15-0
+49 (0) 74 22 · 5 15-1 08
[email protected]
Internet: www.trumpf-laser.com
81
Associations in the electronics industry
The electronics business, its producers and
supply industries are acting globally. It is therefore important to have organisations that represent these industries globally, knowing the
company’s specific needs, their economical and
technological environment and the challenges
they face in their places of business. We have
compiled a number of associations from all
over the world.
Association collaboration strengthens the industry: European Round Table discussion with JEMI France, JEMI UK and SEMI.
Picture: VDMA-Productronics.
Semiconductors
ESIA
JEMI France
JEMI UK
KSIA
SEMI
SEMEA
SIA
SEAJ
SEMATECH
SESHA
European Semiconductor Industry Association
www.eeca.org/
Joint Equipment Manufacturers Initiative, France
www.jemi-france.org/
Joint Equipment Manufacturers Initiative, United Kingdom
www.jemiuk.com/
Korea Semiconductor Industry Association
www.ksia.or.kr/eng/
Semiconductor Equipment and Materials International
www.semi.org/
Semiconductor Equipment and Materials Association
(Jointly organised by JEMI France, JEMI UK and VDMA-Productronics)
Semiconductor Industries Association
www.semichips.org/
Semiconductor Equipment Association of Japan
www.seaj.or.jp/english/
Semiconductor Manufacturing Technology
www.sematech.org/
Semiconductor Environmental, Safety and Health Association
www.seshaonline.org/
Printed Circuit Boards
CPCA
EIPC
EIAJ
HKPCA
82
China Printed Circuit Association
www.cpca.org.cn/
European Institute of Printed Circuits
www.eipc.org/
Electronic Industries Association of Japan
www.jeita.or.jp/
Hong Kong Printed Circuit Association
www.hkpca.org/
IMAPS
IPC
IPCA
JPCA
SMBCA
TPCA
VdL
International Microelectronics and Packaging Society
www.imaps.org/
Institute for Interconnection and Packaging Electronic Circuits
www.ipc.org
Indian Printed Circuit Association
www.ipcaindia.org/
Japan Printed Circuit Association
www.jpca.org/
Surface Mount and Circuit Board Association (Australia)
www.smbca.asn.au/
Taiwan Printed Circuit Association
www.tpca.org/
Verband der Leiterplattenindustrie e.V. im ZVEI (Germany)
www.zvei.org/
Electronics / Electronics components
EECA
EIA
GFIE
GIXEL
Intellect
JEITA
SITELESC
ZVEI
European Electronic Components Association
www.eeca.org/
Electronic Industries Association
www.eia.org/
Groupement des Fournisseurs de l‘Industrie Électronique (France)
www.gfie.fr/
Groupement des Industries de l‘Interconnexion, des Composants et des
Sous-Ensembles Électroniques
www.gixel.fr/
Information technology, telecommunications and electronics industry trade
body (UK)
www.intellectuk.org/
Japan Electronics and Information Technology Industry Association
www.jeita.org/
Syndicat des Industries de Tubes Électroniques et Semiconducteurs (France),
www.sitelesc.fr/
Zentralverband Elektrotechnik- und Elektronikindustrie (Germany)
www.zvei.org/
Flat Panel Displays
DFF
EDIRAK
ITRI
PIDA
SID
USDC
Deutsches Flachdisplay-Forum (German Flat Panel Display Forum),
www.displayforum.de/
Electronic Display Industrial Research Association of Korea
www.edirak.or.kr/
Industrial Technology Research Institute
www.itri.org.tw/
Photonics Industry and Technology Development Association
www.pida.org.tw/
Society for Information Display
www.sid.org /
United States Display Consortium
www.usdc.org/
Photovoltaics
EPIA
European Photovoltaic Industry Association
www.epia.org/
83
Imprint
Editor
Production
Dr. Eric Maiser
VDMA – German Engineering Federation
Productronics Association
Lyoner Strasse 18
60528 Frankfurt
Germany
leithner media production
Ebelsbach, Germany
Phone:
Fax:
E-Mail:
Internet:
+49 (0) 69 · 66 03-14 33
+49 (0) 69 · 66 03-24 33
[email protected]
www.productronics.org
Dr. Eric Maiser, Dr. Jörg Winkler,
VDMA Productronics unless stated otherwise.
The chapter “20 years VDMA-Productronics”
has been compiled with great support of
Jens Uwe Fuhrmann, Fuhrmann Consulting
Network, Munich.
Publisher
VDMA Verlag GmbH
Lyoner Strasse 18
60528 Frankfurt/Main
Germany
+49 (0) 69 · 66 03-12 32
+49 (0) 69 · 66 03-16 11
[email protected]
www.vdma-verlag.de
Copyright 2003
VDMA Verlag GmbH
Frankfurt/Main, Germany
84
Tümmel intermedia
Nürnberg, Germany
Illustrations
Front Cover
lower right: Andrew Errington/Getty Images
left: VDMA Productronics
Authors
Phone:
Fax:
E-Mail:
Internet:
Printing
Acknowledgement
This compilation would not have been possible
without the large knowledge base and picture
pool members gave us access to nor the dedicated help of numerous professionals from
industry and research. We want to express our
sincere thanks to all those who supported us
in writing and reviewing this brochure.
939003_A4_productr_GB.qxd 17.10.2003 12:48 Uhr Seite 1
Together We Move The
World. Piece by Piece
INA and FAG have pooled their
expertise and have been doing business together for some time now.
L-A-T, another strong partner, has
also joined the team and “moves
the world” with direct drives. This
means that solutions with new customer benefits are being established piece by piece. Examples
include the following:
tion. You can see them at our joint
trade show booth, but you won’t be
able to hear them.
Low friction. We have convincing products for electronics manufacturing applications, because they
have perfectly matched material mating, good coatings and surfaces. The
result is low wear and low particle
emissions.
Visit our booth and let us “work
together to move the world.”
939 003
Low noise. INA’s new ball type
profiled rail units with spacers
between the rolling elements are as
“quiet as a mouse” during opera-
Lubricant free. With planar
direct drives from L-A-T, precise
positioning to the exact point in a
plane can be performed, and the air
bearing supported forcers do not
need any lubricant – not even when
they have to operate upside down.
So that you can move the
world!
Schaeffler Group
Production Machinery Division
91072 Herzogenaurach
(Germany)
www.semiconductor.ina.com
GE_Anz_A4_neu_13.10. 23.10.2003 9:37 Uhr Seite 1
Global electronics
Trade fairs & Internet portal
electronica
electronicAmericas
9–12 November 2004
Munich
May 2005
São Paulo
Productronica
electronicAsia
15–18 November 2005
Munich
13–16 October 2004
Hong Kong
LASER. World of Photonics
electronicaChina &
ProductronicaChina
13 –16 June 2005
Munich
17–19 March 2004, Shanghai
FiberComm Conference
componex/electronicIndia
12–14 May 2004
Munich
12–15 February 2004
New Delhi
Embedded in Munich
electronicaUSA with the
Embedded Systems Conference
29 March–1 April 2004, San Francisco
9–12 November 2004
Munich
Get connected worldwide
www.global-electronics.net
Organizer: Messe München GmbH
Messegelände, 81823 München, Germany
Phone (+49 89) 9 49-2 03 22, Fax (+49 89) 9 49-9 72 03 22
[email protected]
Global
electronics

Similar documents