Alternative Lithographic Methods for Variable Aspect Ratio Vias

Transcription

Alternative Lithographic Methods for Variable Aspect Ratio Vias
Rochester Institute of Technology
RIT Scholar Works
Theses
Thesis/Dissertation Collections
8-2014
Alternative Lithographic Methods for Variable
Aspect Ratio Vias
Anthony R. Schepis
Follow this and additional works at: http://scholarworks.rit.edu/theses
Recommended Citation
Schepis, Anthony R., "Alternative Lithographic Methods for Variable Aspect Ratio Vias" (2014). Thesis. Rochester Institute of
Technology. Accessed from
This Thesis is brought to you for free and open access by the Thesis/Dissertation Collections at RIT Scholar Works. It has been accepted for inclusion
in Theses by an authorized administrator of RIT Scholar Works. For more information, please contact [email protected].
ALTERNATIVE LITHOGRAPHIC METHODS FOR
VARIABLE ASPECT RATIO VIAS
By
Anthony R. Schepis
A Thesis Submitted in Partial Fulfillment
of the Requirements for the Degree of
Master of Science
in
Microelectronic Engineering
Approved by:
Prof. ________________________________________
Dr. Bruce W. Smith
(Thesis Advisor)
Prof. ________________________________________
Dr. Dale E. Ewbank
(Committee Member)
Prof. ________________________________________
Dr. Grover A. Swartzlander (Committee Member)
Prof. ________________________________________
Dr. Robert E. Pearson
(Program Director)
Prof. ________________________________________
Dr. Sohail A. Dianat
(Department Head)
External Collaborators (IBM):
Dr. Chi-Chun Liu, DSA Advanced Lithography
Dr. Kafai Lai, Lithography Design & Co-Optimization
Dr. Matthew Colburn, Senior Manager Patterning Research
DEPARTMENT OF ELECTRICAL & MICROELECTRONIC ENGINEERING
KATE GLEASON COLLEGE OF ENGINEERING
ROCHESTER INSTITUTE OF TECHNOLOGY
ROCHESTER, NEW YORK
August 2014
ALTERNATIVE LITHOGRAPHIC METHODS FOR
VARIABLE ASPECT RATIO VIAS
By
Anthony R. Schepis
I, Anthony R. Schepis, hereby grant permission to the Wallace
Memorial Library of the Rochester Institute of Technology to
reproduce this document in whole or in part that any reproduction
will not be for commercial use or profit.
________________
Anthony R. Schepis
____________
August 6, 2014
i
Abstract
Kate Gleason College of Engineering
Rochester Institute of Technology
Degree: Master of Science
Program: Microelectronic Engineering
Name of Candidate: Anthony Ross Schepis
Title: Alternative lithographic methods for variable aspect ratio vias
The foundation of semiconductor industry has historically been driven by scaling.
Device size reduction is enabled by increased pattern density, enhancing functionality and
effectively reducing cost per chip. Aggressive reductions in memory cell size have resulted
in systems with diminishing area between parallel bit/word lines. This affords an even
greater challenge in the patterning of contact level features that are inherently difficult to
resolve because of their relatively small area, a product of their two domain critical
dimension image.
To accommodate these trends there has been a shift toward the
implementation of elliptical contact features. This empowers designers to maximize the
use of free space between bit/word lines and gate stacks while preserving contact area;
effectively reducing the minor via axis dimension while maintaining a patternable
threshold in increasingly dense circuitry. It is therefore critical to provide methods that
enhance the resolving capacity of varying aspect ratio vias for implementation in electronic
design systems. This work separately investigates two unique, non-traditional lithographic
techniques in the integration of an optical vortex mask as well as a polymer assembly
system as means to augment ellipticity while facilitating contact feature scaling. This
document affords a fundamental overview of imaging theory, details previous literature as
to the technological trends enabling the resolving of contact features and demonstrates
simulated & empirical evidence that the described methods have great potential to extend
the resolution of variable aspect ratio vias using lithographic technologies.
ii
Acknowledgements
First and foremost I would like to thank my parents, Karen and Antonino Schepis.
Your support and encouragement through the past eight years of my college tenure have
proven invaluable. Furthermore, you provided me with three loving sisters: Amanda,
Allison and Autumn that continue to support my endeavors. I want to emphasize my
gratitude for challenging me as a child and teenager, always expecting the best from
me. I attribute my academic success to you.
I would specifically like to note the time and dedication my mother put in that
made me the man I am today. Even if at times your teaching practices were questionable
and still make me fear fractions & #2 pencils to this day, I want you to know that I love
you and wouldn’t change a thing. Thank you for being invested in my daily life and
despite the distaste I may have exhibited when questioned ‘is your thesis done yet?’, I am
fortunate to have you engaged in my struggle.
As bizarre as it may seem, I owe in large part gratitude to my friend Brett Cucci
for the position I am in today. It was the winter of 2011 when I was registering for spring
quarter. I wanted to take a microfluidics class, however, Brett convinced me that taking a
second lithography course would be of greater value. Boy was he right! This is where I
met Dr. Bruce W. Smith. Dr. Smith recognized great potential in me and would go on to
provide the opportunity to collaborate with IBM and act as an invaluable mentor. Had I
not taken that Microlithography II course I undoubtedly would be in a much different
place right now.
Dr. Dale Ewbank I thank you for your mentorship and introducing me to the
enthralling world of lithography. Dr. Smith, I cannot begin to express the gratitude I
iii
have for your continued support and facilitation of my pursuit of knowledge in patterning
technology. I sincerely appreciate your patience and understanding with regard to my
transition from the masters to doctoral program. Although it was unfortunate that I was
not able to convey the drive and passion I have for learning to my review committee, I
will not be deterred. This is just a minor setback in my pursuit of knowledge. You need
to follow your dreams and ideas with great conviction regardless of what others suggest.
I would like to thank Dr. Chi-Chun Liu, Dr. Kafai Lai and Dr. Matthew Colburn
from IBM. You took on an intern with no chemistry knowledge and converted him into a
meaningful contributor to the DSA community within a year. I learned so much during
my time with you, not only about material processes but critical thinking in general. I am
indebted to you all. Charlie, thanks for continuing to field my questions and assisting my
journey despite my departure from IBM. I know how busy you are and appreciate your
tutelage. Matt, thank you for being in my corner. It means so much to me that you still
stand by my side despite the cards being stacked against me.
Lastly I would like to acknowledge my group members past and present:
Dr. Andrew Estroff, Zac Levinson and Andrew Burbine. I am grateful to be surrounded
with individuals containing such a profound library of optics knowledge. Thank you for
entertaining many fruitful discussions of optical vortices lithographic application.
The research presented in the proceeding sections would not have been possible
without collaboration from IBM, KLA Tencor (PROLITHTM ) and financial support
received from the Semiconductor Research Corporation/Global Research Collaboration
(task 2126.002) & US Department of Education.
iv
Table of Contents
Abstract ............................................................................................................................... ii
Acknowledgements ............................................................................................................ iii
List of Figures .................................................................................................................... vi
List of Acronyms ............................................................................................................. viii
Chapter 1. Background ................................................................................................... 1
1.1 Introduction ............................................................................................................. 1
1.2 Survey of Patterning Technologies ......................................................................... 3
1.3 Patterning Technology Summary ......................................................................... 11
Chapter 2. Motivation ................................................................................................... 12
Chapter 3. Contact Level Lithography ........................................................................ 14
3.1 Contact Feature Image Formation......................................................................... 14
3.2 State of Contact Level Lithography ...................................................................... 18
3.3 Contact Level Lithography Literature Summary .................................................. 24
Chapter 4. Vortex Lithography .................................................................................... 25
4.1 Overview of Vortex Lithography.......................................................................... 25
4.2 Optical Vortices Lithographic Application Summary .......................................... 31
4.3 Objective and Methods ......................................................................................... 32
4.4 193i Vortex Simulation ......................................................................................... 33
4.5 Conclusion ............................................................................................................ 41
Chapter 5. Directed Self Assembly ............................................................................... 42
5.1 Introduction to Assembly Mechanics ................................................................... 42
5.2 State of Directed Self Assembly Research ........................................................... 48
5.3 Directed Self Assembly Literature Summary ....................................................... 56
5.4 Objective and Methods ......................................................................................... 57
5.5 Compact Directed Self Assembly Model ............................................................. 59
5.6 Process Variation Band Simulation ...................................................................... 62
5.7 Empirical 300mm Cylindrical Directed Self Assembly ....................................... 67
5.8 Conclusion ............................................................................................................ 74
Chapter 6. Closing Remarks ......................................................................................... 75
Chapter 7. References .................................................................................................... 79
v
LIST OF FIGURES
Fig. 1.1.
Fig. 3.1.
Fig. 3.2.
Fig. 3.3.
Fig. 3.4.
Fig. 4.1.
Fig. 4.2.
Fig. 4.3.
Fig. 4.4.
Fig. 4.5.
Fig. 4.6.
Fig. 4.7.
Fig. 4.8.
Fig. 5.1.
Fig. 5.2.
Fig. 5.3.
Fig. 5.4.
Fig. 5.5.
Fig. 5.6.
Fig. 5.7.
Fig. 5.8.
Fig. 5.9.
Fig. 5.10.
Fig. 5.11.
Fig. 5.12.
Fig. 5.13.
Fig. 5.14.
Fig. 5.15.
Fig. 5.16.
Fig. 5.17.
Fig. 5.18.
Fig. 5.19.
Projection lithography system .................................................................................. 4
Square contact length a, feature pitch p, mask frequency content. ........................ 15
Amplitude spectrum (left), 1:1 DR square contact, aerial image (right)................ 16
Modes of elliptical via generation .......................................................................... 17
Comparison of 193nm immersion and EUVL lithographic processes ................... 20
Optical vortex mask (left) single cycle vortex (right) ............................................ 26
Pupil plane response to variouse vortex pitches in a partailly coherent imaging
system ..................................................................................................................... 28
Vortex via morphology and tabulated experimental data ...................................... 29
Pitch limitations of vortex lithography: 250P (top) and 185P (bottom) ................ 36
Dipole illuminator (σr=0.15): diffracted spectra 120P system σc=0.2 (left), CD
behavior of 200P system (right) ............................................................................. 38
Aerial image intensity and process window at 10% EL for 160P dipole illuminated
system of varying aspect ratio ................................................................................ 38
2:1 elliptical source (left) and tabulated results for varying pitch (right) .............. 40
Assymetrical mask dimensions: aspect ratio trend for partially coherent source
(left), partially coherent source process window (middle) and 2:1 elliptical source
process window (right) ........................................................................................... 40
Diblock copolymer phase diagram: (a) cubic, (b) cylindrical, (c) lamellar, (d)
inverse-cylindrical, (e) inverse-cubic .................................................................... 43
Orientation of cylindrical and lamellar self assembly systems: (a) parallel
cylindrical, (b) perpendicular cylindrical, (c) parallel lamellar (left) perpendicular
lamellar (right)........................................................................................................ 45
Calculated values of interfacial energy for varying styrene content in P(S-r-MMA)
brush between: PS/PMMA homopolyers (left) and cylinder or lamellae forming
PS-b-PMMA (right). Ultimate morphologies realized for given brush content
(bottom) .................................................................................................................. 47
Contact shrink process using graphoepitaxy DSA ................................................. 47
GP design’s influence on polymer assembly ......................................................... 49
36nm PS-b-PMMA BCP system pattern transfer into: (a)SiArc hard mask, (b)Si
and (c)SOC ............................................................................................................ 52
Complete DSA computational lithography solution .............................................. 59
AR construct test macro ......................................................................................... 63
PV bands 1.93:1 contact feature: focus variation (left) and dose variation at best
focus (right) ............................................................................................................ 63
PV spectrum (outer-inner)...................................................................................... 64
GP input (grey) and domain prediction (overlay ................................................... 65
Reduced constraint PV band simulation ................................................................ 66
Resist performance ................................................................................................. 68
Target vs experimental GP (CD error < 5%). ........................................................ 68
SEM overlay: 1:1 (left) and 1.31:1 (right) ............................................................. 70
Post harden GP. ...................................................................................................... 70
Post BCP (contrast enhanced images).................................................................... 71
Model Validation: a) Simulation Input, b) Domain Prediction and c) Empirical
Data (contrast enhanced ......................................................................................... 72
Post etch cylindrical DSA images. ......................................................................... 73
vi
TABLE OF ACRONYMS
AC
Alternating Current
APM
Attenuated Phase Shift Mask
ARC
Anti-Reflective Coating
BCP
Block Copolymer
BEOL
Back End of Line
CD
Critical Dimension
CER
Contact Edge Roughness
CL
Computational Lithography
DOF
Depth of Focus
DSA
Directed Self Assembly
DUV
Deep Ultraviolet
EBL
Electron-Beam Lithography
EL
Exposure Latitude
EUVL
Extreme Ultraviolet Lithography
GP
Guide Pattern
IBM
International Business Machines
IC
Integrated Circuit
ITRS
International Technology Roadmap for Semiconductors
L0
Natural Period
MEEF
Mask Enhancement Error Factor
NA
Numerical Aperture
NILS
Normalized Image Log Slope
NTD
Negative Tone Develop
OPC
Optical Proximity Correction
vii
LIST OF ACRONYMS
OPL
Optical Planarization Layer
PMMA
Poly(methyl methacrylate)
PS
Poly Styrene
PSF
Point Spread Function
PV
Process Variation
SEM
Scanning Electron Microscope
SMO
Source Mask Optimization
SOC
Spin on Carbon
SPL
Scanning Probe Lithography
SRAF
Sub Resolution Assist Feature
TEL
Tokyo Electron
viii
Chapter 1. Background
______________________________________________________
1.1 Introduction
Interaction of light and matter is central to the progression of man. Homo sapiens
(in addition to a significant portion of species that populate Earth) have evolved to interpret
these interactions in the visible spectrum. It is therefore of little surprise that fascination
surrounding optical elements dates back to more than 3000 years ago when the Nimrud
Lens was employed to focus light. Since this time the desire to manipulate complex optical
systems and harness the controlled propagation of radiation has only increased, enabling
the exploration of macroscopic objects and microscopic environments.
Without foraging through the fundamental theories of electromagnetism through
the works of Ampere, Maxwell, Faraday and Orsted centuries ago, one may attribute the
advent of modern electronics to Lee De Forest’s 1906 invention of the first three terminal
device, the Audion. This single device revolutionized communication systems in its ability
to modulate current flow. Although De Forest’s invention had limited reliability and
dissipated significant power, it was the inception of an industry through which most
modern technology is derived. It would not be until 1947 when Bardeen, Brattain and
Schockley realized Julius Lilienfeld’s concept of semiconductor device application in the
development of the first transistor. The transistor was much more practical than the Audion
in that it efficiently amplified and controlled the propagation of electric current and rapidly
solidified its position as the fundamental building block in electronic system design.
A little over ten years later, Jack Kilby and Robert Noyce concurrently proposed
the concept of integrated circuit manufacturing (ICMF). Instead of employing discrete
components in circuit design it was suggested that a blanket manufacturing solution could
1
be exploited to fabricate elements utilizing the same processes. A method for defining
these constructs would be required. A notion that Richard Feynman profoundly asserted
during his ‘Plenty of Room at the Bottom’ lecture to the American Physical Society. He
proposed that in order to advance the understanding and knowledge across the physical,
chemical and biological sciences the largely unexamined frontier of microsystems would
require thorough investigation. An examination which was largely contingent upon the
scientific communities’ ability to transcribe high resolution information; the mechanisms
of which would heavily rely on electromagnetic radiation that has garnered the attention of
man since the dawn of time.
“I would like to describe a field, in which little has been done, but in which an enormous
amount can be done in principle…What I want to talk about is the problem of manipulating
and controlling things on a small scale… It is a staggeringly small world that is below”
-Richard P. Feynman 1959
2
1.2 Survey of Patterning Technologies
______________________________________________________
Optical Lithography
Optical lithography employs deep ultraviolet (DUV) electromagnetic radiation to
create high resolution relief image patterns in a photosensitive resist material, which may
then be effectively employed as a template for selective deposition and etch processes in a
layered fabrication scheme as prescribed by Kilby and Noyce. The images realized in resist
are projections of a master pattern on a photomask.
The photomask is generally
constructed of chromium and quartz which integrate to create opaque and transparent
regions that dictate the propagation of source radiation at the mask interface.
The current industry standard source is a 193nm ArF excimer laser. This output is
filtered through a condenser lens assembly which provides uniform illumination in the
mask plane resulting in diffracted orders post interaction. The diffracted information
propagates toward an objective lens where only a finite amount of data is collected;
determined by the maximum acceptance angle of the lens (as visualized in Figure 1.1) [1].
A minimum collection of a single diffracted pair is required for resolution. It is the
propagation of the diffracted information that will ultimately determine the resolving
performance of a projection lithography system, a function of the coherence of the source
illuminator as described by the relationship posted in equations 1.1 & 1.2.
𝑅𝐶𝑜ℎ𝑒𝑟𝑒𝑛𝑡 =
0.5λ
(1.1)
NA
𝑅𝐼𝑛𝑐𝑜ℎ𝑒𝑟𝑒𝑛𝑡 =
0.25λ
(1.2)
NA
3
Fig. 1.1. Projection lithography system [1] .
4
An on-axis point source coherent illuminator will produce normally acting waves
that arrive in phase at the mask. The lack of angular spread results in a critical cutoff value
of pitch where the first order diffracted information is not collected for a given numerical
aperture (NA) of the objective lens. Incoherent illumination affords a collection of offaxis point sources which interact with the mask at all angles with no phase to space
relationship. The diffracted orders have a spread that will fill the pupil with a linearly
decreasing modulation function; affording a higher ultimate resolution than coherent
illumination at the cost of contrast [1].
The capacity to resolve high resolution information is irrelevant without a
qualifying metric to characterize a systems capability to do so. It is critical to consider the
process window through which the diffracted information may be constructed into
meaningful features. This entails determining the acceptable dose variation (EL) within
the exposure system and depth of focus (DOF) dictating the permissible distance shifted
along the optical axis while still resulting in a desirably resolvable image. An ideal imaging
system’s process window would extend to the theoretical limits, in reality components and
processes have tolerances that can significantly hinder latitude. Effective dose may be
altered by source level fluctuations across field, wafer topography and even non-uniformly
heated hotplates present during photoresist processes. Aberrations (lens errors) are present
in all optical lithography systems to some degree and can significantly induce defocus.
Spherical, comma, astigmatism, field curvature/distortion and chromatic aberrations are
common lens defects which will require accommodation [2].
Despite the aforementioned complexities involved in a lithographic patterning
system, the ability to scale the process has solidified its position in semiconductor
5
manufacturing and academia for nearly half a century. Scaling is largely economical in
principle as demonstrated by Gordon Moore [3]. Inherent in the nature of semiconductor
manufacturing, chemical process are employed to fabricate devices. The most significant
consequence of which is that within a fixed area whether one unit is fabricated or one
million units are constructed, the same amount of material is consumed to produce the
yield. Therefore if the elements are made smaller, the price per component may be
drastically reduced.
The benefits of a scalable process are not solely restricted to
economics. Robert Dennard identified that as devices are scaled a performance gain is also
realized [4]. As the size of devices are reduced the parasitic capacitances and resistances
associated with operation also diminish. A direct consequence of which means that
effectively the same chip may be produced at a smaller technology node with reduced
power dissipation or the same operational requirements at a higher clock frequency.
Furthermore, as the capacity to resolve high resolution images is increased it enhances the
capability of the scientific community to conduct research in a broad range of disciplines
ranging from biology [5] to physics [6].
The advantages of scaling have been identified, the next logical progression is to
investigate the means to manipulate a lithography process that will yield a reduction in
feature size.
Several mechanisms have historically been exploited to extend image
modulation, resolution and further control the distribution of diffracted information within
the objective lens. The most obvious of which (based upon inspection of equations 1.1 &
1.2) is reducing illuminator wavelength. Such reduction decreases the spread in diffracted
information such that more data is obtained within the pupil. Scaling source wavelength
6
from mercury arc lamps to excimer lasers has effectively reduced critical device
dimensions (CD) from micrometers to nanometers.
Other methods have also been successfully engaged to enhance the capabilities of
an optical imaging system; maintaining the process window and reducing feature size. Off
axis illumination is one such technique.
Dipole, quadrupole, annular and flexray
illumination apertures are a few alternative configurations to on-axis sources that have been
successfully integrated [7]. Further lithographic scaling practices such as modification to
the photomask (enabling phase/intensity manipulation of illuminator output) [8],
computational lithography (sub resolution assist feature placement, optical proximity
correction etc.) [9,10] and alterations to the foundation of the optical system (such as
insertion of high index immersion fluid at the wafer-objective lens interface) [11] can
increase local contrast, DOF and ultimate resolution limit.
The means to extend optical patterning in 193nm ArF systems have been
thoroughly exhausted. Multiple patterning processes are becoming increasingly hindered
by throughput reduction, process complexity and diminished tolerance. The next logical
progression to enable the continuation of scaling would be to explore a further reduction
in illuminator wavelength. Extreme ultraviolet lithography (EUVL) operating at a source
wavelength of 13.5nm provides promise that scaling may continue, however,
underdeveloped source power [12], mask infrastructure [13-15], materials [16-18] and
system maintenance strategies [12] have so far prevented integration of this technology.
With EUVL not mature enough for insertion, the semiconductor industry and scientific
community has begun seeking interim solutions that will enable the continuation of pattern
scaling.
7
Maskless Patterning Technologies
Maskless direct-write patterning technologies seek to circumvent the shortcomings
of diffraction limited optical lithography and have recently garnered attention given the
lack of maturity in EUVL integration. Electron beam lithography (EBL) may be thought
of as a derivative of traditional lithography processes where sensitive materials are
rendered soluble/insoluble in a developer based upon exposure to incident radiation. In the
case of a direct write EBL a computer system is used to generate patterns by changing the
position of the electron beam rather than diffraction at a mask interface. A typical EBL
system closely resembles that of a scanning electron microscope (SEM), comprised of a
vacuum chamber, electron gun and a column containing electro- optical elements that focus
the beam and accelerate it to the desired working voltage [19].
EBL systems have been demonstrated to produce sub-10nm feature sizes [20],
however, several limitations are associated with the writing process. The most significant
of which is the write speed, an artifact of the serial writing scheme through which the
patterns are generated. A single 300mm wafer has a process time on the order of tens of
hours as opposed to minutes for an exposure using a lithographic stepping process [21].
Parallel write technologies employing multiple electron beams [22,23] are able to increase
throughput at the cost of resolution (due to proximity effects of interacting beams). Other
complications can arise as result of beam scatter, where elastic and inelastic events can
lead to unwanted exposures micrometers from the intended feature [19]. Ultimate pattern
densities are also limited by the nature of the process. Different size features require unique
exposure doses, restricting the pitches of nested constructs in these scenarios due to the
charge distribution in the resist [24].
8
The patterning techniques surveyed to this point have relied (at least in part) on far
field imaging solutions. Scanning probe lithography (SPL) enters the regime of near field
patterning and removes the complex beam optics of the previously detailed methods;
affording a cost-effective means to produce high resolution images.
SPL may be
implemented in a variety of fashions, some of which rely on nano imprint lithography
(NIL) where mechanical deformation is induced to the substrate using an atomic force
probe tip to realize a pattern [25,26].
Atomic scale manipulation has also been
demonstrated using SPL where direct physical interaction of a probe tip can be used to
rearrange nanoparticles [27], molecules [28,29] and atoms [30].
Although SPL affords means to produce high resolution images at an atomic scale
with relatively low cost of ownership and does not succumb to the proximity effects of
EBL, the mechanical nature of the process hinders its throughput [31]. Massively parallel
two dimensional arrays comprised of over 55,000 write heads have been explored as means
to reduce process time [32], however, probe tip deformation in such systems yield critical
write errors and sustenance is not practical. Materials development and integration with
other fabrication process also present further challenges in the adaption of SPL [33].
The aforementioned direct write technologies have demonstrated a distinct
resolution advantage over photolithography techniques, however, have been hindered by
their throughput, density limitations and mechanical nature. Plasmonic lithography seeks
to surmount these challenges. Traditionally light has been viewed as a freely propagating
wave that transports energy in space. While accurate, this classic view of radiation
dismisses a rather significant property of the wave nature of light. Transport is not
necessarily restricted to free space, electromagnetic fields may be present in the form of
9
evanescent waves bound to the surface of objects at a conductor-dielectric interface. Such
fields exhibit exponential decay from the boundary interface in the extreme near field.
When appropriately channeled evanescent waves can be confined to atomic scale
dimensions, lending itself to a promising application in patterning [34].
The key to evanescent field generation relies on charge distribution within metallic
nanoparticles. When subject to an incident electric field the electrons of the conductive
particles will rearrange themselves (yielding to electromagnetic forces); resulting in the
aggregation of negative charge. Consequently columbic interaction (a product of the
effective dipole moment) generates a restoring force. Tuning the frequency of the incident
light to match the natural response of the electrons can further amplify their motion [35].
So much so that the net electron oscillation within the material spawns an electric field
acting in the near field regime, known as plasmon resonance.
This response is typically orders of magnitude lower in wavelength than the
incident excitation electric field. Previous studies employing sub-wavelength contact array
masks [36,37], prism [38], tapered fiber [39] and super lens image [40,41] coupling were
able to effectively reduce source wavelength (up to λ/6) in patterning photosensitive
materials via plasmonic lithography.
An admirable feat, however, well above the
fabrication capacity of traditional step and scan lithography systems whose wavelengths
are more practical to scale. Advanced plasmonic systems employing thermally activated
resist and the adiabatic transformation of propagating surface plasmons to localized surface
plasmons have pushed the resolution barrier beyond 22nm with 14m/s write speeds [42],
however, suffer from extreme dose sensitivity due to the evanescent nature of the focused
field where a 1nm deviation in head position results in a 1000x reduction in intensity.
10
1.3 Patterning Technology Summary
______________________________________________________
The ability to image nanometer scale patterns and beyond have significant
ramifications that extend well outside the confines of the optical community. The capacity
to resolve such images enable the fabrication of complex microsystems that afford great
potential to expand knowledge across the physical, chemical and biological sciences.
Current state of the art multiple patterning immersion lithography systems have enabled
stringently controlled 22nm node technology at the cost of significant process complexity.
Progression beyond this node with present imaging systems induces even more challenges
as design tolerance diminishes.
The next logical progression to extend optical lithography mandates a reduction in
illuminator wavelength to the extreme ultraviolet regime, however, the infrastructure to
support the move is not yet mature enough for manufacturing insertion. This has left the
scientific community seeking alternative patterning systems that will enable the
continuation of feature scaling. Maskless techniques such as electron beam, nanoimprint
and plasmonic lithography provide alternative cost effective means to surmount the
diffraction limit, however, suffer throughput, defectivity, overlay and proximity issues.
The deficiency of a viable next-generation imaging solution is evident, mandating the need
for development of a novel patterning platform through which the stringent manipulation
of microsystems may continue.
11
Chapter 2. Motivation
______________________________________________________
The International Technology Roadmap for Semiconductors (ITRS), a consortium
of industry and research partners, releases yearly assessments detailing the needs of future
inventions and technical challenges across microsystems development. The most recent
2013 technology characteristics summary [43] mandates the need for a patterning solution
that is capable of imaging sub 18nm contact features with 1.2nm three sigma CD control
by the year 2018. Adhering to this projection there has been an aggressive reduction in the
fabrication of microelectronic circuit elements over the past few decades. Memory cell
size has been dramatically reduced resulting in an effective increase in array density as the
market demand for low profile, high capacity storage media has drastically increased. This
densification consistently reduced the distances between parallel bit and word lines making
it ever more challenging to resolve the contact features that define electrical connections
within these circuit elements.
Contact hole lithography is one of the most difficult layers to resolve in modern IC
processing. Vias have the smallest element area of any other IC design element with
critical dimensions in both x and y domains. This dimensionality compounds their
sensitivity in ultra low k1 lithographic processes. Mask enhancement error factor (MEEF)
simultaneously acts in two dimensions resulting in a quadratic error relationship with
regard to CD [44]. Susceptibility to defectivity, disuniformity and edge roughness limit
their process window and resolving capacity as the limits of a lithography system are
approached. It is therefore crucial to examine methods that can enable the continuation of
scaling while mitigating the impact of process factors.
12
Augmenting the shape of the contact feature can support scaling trends while
improving process latitude. In a design shrink where interconnects are formed by circular
contacts, dimensions of the via will be reduced in all directions, inducing strain on a
lithography systems capability to resolve such images.
Variable aspect ratio (AR)
controlled via features afford two degrees of freedom when scaling.
Even with a
dimensional decrease along the minor axis, elliptical vias may be dimensioned such that
the major axis is extended; increasing contact area and enabling the maintenance of a
patternable threshold to minimize DOF penalty [45,46].
Present day 193i optical lithography has been exhausted of resolution enhancement
methods and the next logical iteration of source wavelength reduction (EUVL) is too
immature for insertion.
Consequently the scientific community has begun seeking
alternative patterning technologies that will enable the continuation of high resolution
imaging of critical layers in microsystems fabrication. Maskless technologies (EBL, NIL,
etc.) have presented the potential to circumvent the diffraction limit inhibiting optical
lithography, however, each have their own set of unique challenges that have hindered their
adoption. With the deployment of 450mm wafers set for 2018 these shortcomings are
further exacerbated. The focus of this research revolves around the integration and
investigation of alternative lithographic methods to augment the contour of contact feature;
enhancing the capacity to scale while addressing a severe deficiency in published literature
regarding this critical subject. In this work vortex mask & directed self assembly (DSA)
techniques are employed and optimized as means to print variable aspect ratio vias for the
22nm node and beyond. These systems are qualified based upon their complexity, process
latitude, aspect ratio achievable and capacity to be resolved in high resolution systems.
13
Chapter 3. Contact Level Lithography
______________________________________________________
3.1 Contact Feature Image Formation
Contact level patterning defines the connections between wiring levels and
critically challenges a lithography systems resolving power. Aggressive critical dimension
targets with minimum feature size in x and y directions, two dimensional images,
susceptibility to defectivty and a high mask error enhancement factor make contact
lithography the most difficult level to print. It is therefore essential to attain a theoretical
understanding of the formation of features in an optical imaging system prior to proposing
methods that modulate their characteristics.
The fundamental aspects of a projection lithography system have been described.
It is now important to attain an understanding of the basis through which an optical image
is formed from the translation of spatial content (photomask features) to frequency
information (diffracted propagation of light). In projection lithography systems far-field
(Fraunhofer) diffraction is observed.
Fourier methods can be employed to describe
interaction between illuminator output and photomask features. For the sake of simplifying
analysis a coherent illumination source will be used and it will be assumed that the distance
between the aperture and illumination plane are much greater than the aperture dimension,
spherical waves can be approximated by quadratic surfaces and each plane wave
component has the same polarization amplitude [47].
The field distribution of a mask function is its Fourier transform.
A two-
dimensional mask function’s frequency content may therefore be related using the
expression in equation 3.1. A two dimensional rect function can be used to characterize a
square contact feature with side a. Impulse functions covering both x and y domains may
14
then be used to sample this feature at pitch p. These spatial functions may then be related
using convolution (multiplication in frequency domain) to represent the two dimensional
mask content of an infinite contact array as displayed in equation 3.2. Taking the Fourier
transform of the spatial content will result in interfering orthogonal sinc functions. The
frequency content of a 1:1 duty ratio contact mask normalized to pitch is depicted in Figure
3.1.
As described in the preceding section, the amount of diffracted information
collected is dependent upon the spread across the lens aperture. For this example it will be
assumed that +/-1st and 0th diffracted orders are captured as presented in Figure 3.2. The
amplitude spectrum of this information may be decomposed into a linear combination of
complex exponentials, enabling harmonic analysis through Fourier expansion [47]. The
results of this decomposition are posted in equation 3.3. The resultant image intensity
distribution, m’(x,y)2, is expressed on the right side of Figure 3.2.
M(u,v) = F {m(x,y)}
𝑥
(3.1)
𝑦
𝑥
𝑦
m(x,y) = rect (𝑎)rect(𝑎) * comb(𝑝)comb(𝑝)
2
2𝜋
2
(3.2)
2𝜋
m’(x,y) = 1 + πcos( 𝑝 x)+ πcos( 𝑝 y)
(3.3)
Fig. 3.1. Square contact length a, feature pitch p, mask frequency content.
15
Fig. 3.2. Amplitude spectrum (left), 1:1 DR square contact, aerial image (right).
In the case of an elliptical contact the mask function would be represented by a twodimensional rect function containing both x and y dimensionality with side length (a,b).
This two dimensional feature can then be decomposed into its one dimensional components
and analyzed similarly to the circular contact case. Two distinct possibilities arise for the
formation of an elliptical aerial image: 1) both pitch in the x and y directions are the same
2) pitch in x and y are unequal. In the first case the diffracted orders fall in the same
location in the pupil on their respective axis. Inherent in the definition of the rectangular
geometry, one side will have greater length than the other; altering the DR in one direction.
This will attenuate the amplitude spectrum in that direction resulting in an asymmetrically
elliptical aerial image. The second mode employs unique pitch values in x and y,
asymmetrically placing diffracted orders in the pupil and realizing an enhanced elliptical
image. The amplitude spectrum and corresponding aerial image from these simulated cases
are disclosed in Figure 3.3.
16
Fig. 3.3. Modes of elliptical via generation: px=py=1µm a=500nm b=750nm (left),
px=1µm py=1.5 µm a=500nm b=750nm (right). Executed in PROLITH: σ=0, NA=0.4, λ=365nm.
When printing contact features at dimensions less than 0.6λ/NA the aerial image
takes on the shape of the point spread function (PSF) [48]. The point spread function is a
systems impulse response representing the distribution of a point aerial image [47].
Attempting to resolve a contact feature at mask level beyond the aforementioned limit will
result in PSF dictated performance. Reducing mask dimensions will only result in an
effective shift in dose; attenuating peak image intensity [48].
17
3.2 State of Contact Level Lithography
______________________________________________________
Optical Patterning of Contact Features
Single exposure 193nm immersion (193i) lithography systems have a half-pitch
resolution limit on the order of 40nm. To enable the continuation of device scaling multiple
exposure processes and EUV lithography systems are viewed as technological solutions to
advance patterning beyond the 22nm node. Multiple patterning and spacer image transfer
schemes induce significant cost and complexity while diminishing design tolerance.
Despite these shortcomings multiple patterning methodologies can be adapted using
current steppers. EUV lithography insertion has been hindered by several key challenges
such as specific defect modes, limited throughput and mask fabrication/integration issues.
In the proceeding paragraphs these state of the art techniques will be evaluated in their
capacity to fabricate contact features.
The limits of single exposure 193i lithography are exposed in Figure 3.4. Varying
densities of critical DRAM circuit design elements are patterned using 193i, 193i double
patterning and EUVL technologies. As the resolution limit is approached for the single
exposure process (~38nm line, ~44nm hole) CD uniformity becomes erratic and image
fidelity is compromised [49].
At increasing array density (D3x/D2x) 193i double
patterning is implemented at the cost of process margin to keep pace with single exposure
EUVL [50].
That is not to say EUVL does not have shortcomings with regard to the patterning
of contact hole features. The low incidence angle that source-mask interaction occurs at
can create an orientation dependent topographical effect (known as shadowing). Mask
shadowing can induce artifacts and bias the shape of contact features [51]. Significant
18
contrast differences between the patterning of line/space and via structures also exist. This
difference is exacerbated when patterning isolated features. Over a 40% loss in contrast
has been observed in the imaging of 1:1 and 1:5 25nm contact arrays. Furthermore, pitch
dependent CD behavior has been demonstrated in the patterning of high resolution
(<25nm) contact holes [52]. As EUVL matures it is expected that material and process
advancements will aid in mitigate these negative effects.
Materials and Etch Process Development
The challenges inherent in the two dimensional image associated with patterning
of contact features as described in the preceding sections of this report mandate adamancy
with regard to full lithographic process optimization. Stringent material selection and back
end of line (BEOL) integration must be coupled with computational lithography (CL)
practices to achieve high resolution contact hole structures with significant process
margins.
Lithography stack selection has been shown to significantly influence the resolving
capacity of a patterning system [53]. ArF resist formulation (primarily comprised of a
casting solvent, photo acid generator, quencher and protected polymer) not only influences
process window, however, can dictate shape response during exposure and ultimate
integrity of the resolved image. The quencher strength and polymer type can significantly
impact AR of the forming contact hole [54]. Additionally, process conditions such as bake
temperatures, integration of antireflective coatings (ARC) and film thicknesses further alter
latitude and resolution [53,54]. There exists no universally applicable set of parameters;
system specific optimization tailored to design requirements is necessary.
19
Fig. 3.4. Comparison of 193nm immersion and EUVL lithographic processes [49].
Advanced etch schemes have been adapted for the 22nm node via level lithography
in 193nm immersion systems. Tri-layer exposure stacks consisting of photoresist, ARC
and organic planarization layer (OPL) have been employed to shrink post lithography CD
through etch transfer processes. Additional track operations such as Tokyo Electron (TEL)
America’s proprietary resist slimming process may be applied post develop to further
reduce contact dimensions by up to 20nm on both axes with limited penalty to three sigma
pattern uniformity [55]. This reduction significantly alleviates burdens on the lithographic
process, allowing features to be positively biased while still attaining design dimensions.
Post lithography CD reductions have enabled doubling of exposure latitude across DOF in
state of the art 193nm immersion systems [56].
RIE processes employing CF4 and/or CxHyFz mixtures with carefully controlled
compositions may be utilized to execute a tapered etch process through the exposure stack
and into the underlying layers to form interconnects. It is imperative that the AR content
of the original template is preserved during pattern transfer. Petrillo et al has demonstrated
the capability of etch process to perform a CD shrink by reducing a 50nmx100nm (2:1 AR)
post lithography contact feature to ultimate bottom dimensions of 17x34nm [56].
20
Resolution may be further enhanced in multiple patterning 193i processes when coupled
with chemical slimming and RIE technologies; potentially extending optical lithography
beyond the 22nm node at cost of design tolerance [55].
Computational Lithography
Computational lithography techniques such as optical proximity correction (OPC),
source mask optimization (SMO) and pupil filtering can significantly enhance the imaging
capability of a patterning system.
These methodologies afford another avenue for
maximizing lithographic performance at the resolution limits.
In low k1 lithography imaging, a significant amount of data is contained in the high
order diffracted information which is lost per the nature of the resolving systems; as
described in the introduction. Consequently distorted images of the mask pattern are
realized in the object plane. Primarily four types of distortions exist: proximity effects,
nonlinearities, shortening and corner rounding. OPC addresses these artifacts through rule
and design based algorithms that modify a design layout to compensate for these
deformations. Most countermeasures involve the biasing of mask patterns or augmenting
of their contour such that an image is desirably reproduced in the object plane. Other OPC
methods generate additional features to supplement image integrity [57].
Sub resolution assist features (SRAF) are an OPC technique that enhances the
quality of the image formed by positioning non-resolving objects around a target feature to
modulate local image intensity. These are particularly useful for isolated features where
the SRAFs aid in simulating a dense environment. SRAFs may be employed to enhance
contrast, DOF, common process window and contact feature AR. It has been shown SRAF
generation and optimization are challenging, however, nearly a 5x improvement of DOF
21
can be realized when implemented [58].
Random and irregular contact placement
critically challenge rule based OPC scripts. Inverse lithography techniques have proven to
be more competent in optimizing these types of layouts; producing a process window 60%
larger than rule based scripts [59,60].
Applying OPC in layouts containing varying aspect ratio vias proves even more
difficult.
Assist feature placement may negatively influence the process and can
unintentionally augment ellipticity. Furthermore, two dimensional MEEF with either
symmetrical or asymmetrical distortions can hinder OPC’s ability to resize features. Large
symmetric MEEF can make corrections highly sensitive, while asymmetric distortions may
require substantial modifications to realize alterations at wafer level. Careful consideration
and model optimization are required in maximizing process latitude for these complex
design layouts [61].
Illumination systems may also be manipulated to achieve resolution and latitude
enhancement. Off axis illuminator schemes such as annular, quadrupole and dipole have
been employed since the 1990s to realize these advantages. To make the lithography
processes most effective, an optimization between design and illumination source is
required. Approaches to source configuration optimization include parameterized, archels,
binary contours and gray-level pixel source adjustments [62]. Illumination optimization is
not limited to source shape; other illuminator parameters such as polarization may also be
considered [63, 64].
Parameterized optimization is advantageous in that it is efficient. Source
geometries are comprised of only primary shapes (rectangles, circles etc.), limiting
computational burden. As consequence source solutions are inherently generic. In archel
22
optimization, diffraction pattern analyses may be executed by isolating important mask
spectrum components. Circles are then drawn around these areas in a pupil diagram,
dividing the source into arch-bounded areas at their intersection. The segmentation of the
pupil tailors to design, however, non-uniform light distribution within the archel can hinder
performance [62].
Compact pixel optimizations afford further source refinement. Here contours are
generated based upon mask input and are assigned a binary brightness value. This
generates a more specific illuminator, however, convergence issues may occur with
adjacent contours since there are no gray values. Grayscale pixel arrays with assignable
intensity values present the most customized solution. High resolution sources on the order
of tens of thousands of pixels, each with assignable intensities can conform to diverse
layout designs [62]. These type of illuminators provide the largest latitude increase at
nearly 20% greater than conventional sources [65], however, are computational expensive
[66,67].
An illumination source’s output may be further modified using pupil filters that
control the light intensity distribution near the focus of a projection lithography system.
Pupil filters act by modifying incident light amplitude and/or phase information effectively
augmented the PSF [68]. It therefore can be beneficial to extend SMO into the lens pupil
domain [69].
When properly engineered, pupil filters have been shown to correct
aberration error [69,70] and improve process latitude, cross-pitch feature uniformity
[70,71] and minimum realized feature size [71].
23
3.3 Contact Level Lithography Literature Summary
______________________________________________________
Current 193i projection lithography systems face increasing challenge and
expense as their single exposure resolution limit is approached. At contact feature sizes
of approximately 38nm CD uniformity and image fidelity are significantly compromised,
requiring multiple patterning processes to match the density of single exposure EUVL.
Despite this resolution advantage, EUV systems face a unique set of challenges due to the
relatively low incidence angle at which source-mask interaction occurs, creating image
artifacts that can bias the shape of the feature realized. Contrast differences (on the order
of 40%) in the imaging of isolated via features further inhibit process tolerance.
Materials development has alleviated some of this burden. Lithography stack
selection has proven to improve the resolving capacity of such systems while enabling
augmentation of AR in the forming feature. Additionally, advancement in etch
techniques have reduced the strain induced on lithography steppers by effectively
reducing ultimate feature size through multi stage pattern transfer. Tuning etch chemistry
can also provide anisotropy, realizing ellipticity in the contact.
Computational lithography practices may also be employed to supplement poor
image quality at the resolution limits of imaging systems. Image distortion and poor
modulation may be alleviated through integration of design based algorithms that modify
a design layout to compensate for these deformations. Illumination systems may also be
manipulated to achieve resolution and latitude enhancement through SMO. Despite these
countermeasures further development is required for insertion of EUVL technology,
mandating the need for an interim patterning solution capable of extending imaging.
24
Chapter 4. Vortex Lithography
______________________________________________________
4.1 Overview of Vortex Lithography
A vortex can be defined by mass elements whose angular velocity enables a
circularly spiraling propagation about a central axis [72]. Vortices are natural phenomena
that can be observed throughout the universe. They play critical roles in physical processes
such as the microscopic structure of superfluid helium-4 [73], global weather patterns [74]
and the formation of celestial objects [75]. Optical vortices were first identified in
cylindrically symmetric systems such as the TEM*01 operation mode of a laser cavity
whose characteristic dark core achieved an appropriate nickname of ‘doughnut’ [76]. The
integration of vortices in the optics regime has triggered research regarding implementation
in optical switching [77], data storage [78] and object manipulation application [79].
Optical vortices are relevant to the semiconductor industry in that they may be
embedded into the laser sources used in projection lithography steppers via phase masks.
As detailed in the introduction, contact features patterned in a positive tone resist system
beyond .6λ/NA conform to the PSF; dictated by the wavelength of light employed. In
optical vortices helical wavefront propagation is observed rather than plane or spherical
type formations. As a single cycle of an optical vortex is traversed from 0 to 2π, a phase
singularity is observed at its core with zero intensity [80]. Consequently an optical vortex
lithography system coupled with a high resolution negative tone resist has a dimensional
capacity limited only by the amount of stray light scattered within these dark areas.
Optical vortices are essentially defined as phase objects whose electric field is
governed by the relationship described in equation 3.4. Where z is the central axis through
which the vortex is formed, L is the topological charge, E0(r,z) is the radially symmetric
25
field amplitude and k is the wave number. The vortex topology is governed by the phase
factor exp(Lθi) [81]. Traversing the vortex core (r=0,z) a phase singularity is observed
resulting in an indeterminate phase. Adhering to physical-optical wavefront laws, the
intensity along the path of the vortex core must equal zero (since optical waves with
amplitude require well-defined phases); rendering a zero intensity core through destructive
interference [82]. A first order vortex (L=1) is presented in the right hand side of Figure
3.5. Higher order systems result in a more rapid phase increase about the core [83].
A tiered phase shift mask similar to the one depicted in Figure 4.1 is required to
embed helical phase information into a laser source. As the number of phase steps
increases the more perfect the vortex pattern is realized and stringent control of amplitude
distortion is achieved for a given phase step. Therefore an optimization between vortex
control and fabrication complexity is necessary [82]. Levinson et al. reported that four
phase steps are sufficient for microlithography exposure systems. The intersecting corners
of these phase regions correspond to vortex centers [84]. Both chrome and chromeless
mask designs may be realized, however, the chromeless design affords an effective
elimination of MEEF [85].
E(r,θ,z) = E0(r,z)𝑒 𝑖(𝐿𝜃−𝑘𝑧)
(3.4)
Fig. 4.1. Optical vortex mask (left) single cycle vortex (right) [82].
26
Vortex masks present several key challenges in their fabrication. Mask thickness
required to achieve desired phase (from optical surface) is dictated by the relation in
equation 3.5; where n represents the refractive indices of the media influencing
propagation. The requirement of multiple etch depths makes the fabrication of optical
vortex masks much more susceptible to fabrication errors. The most significant being the
deviation of verticality in sidewalls of the phase steps. A non-ideal sidewall angle can
induce light scatter, negatively influencing the fidelity of the core image. The most
challenging transition occurs between the 0 and 270 degree phase reliefs where height
differential is at its maximum. Etch depth accuracy to achieve desired phase shift is a
concern as well, however, does not hold as grave a consequence. Misalignment of phase
steps is tolerable so long as the magnitude of the misalignment is not comparable to the
desired size of the vortex core at wafer level [86].
Four step vortex cells may be integrated into an array where the phase edges of the
vortices extend between cores. Such arrays may require double exposures to remove
unwanted features and accommodate specialized circuit design [87]. Inherent in the nature
of stitching these arrays, the topological charge will vary in sign between adjacent vortices
i.e. a given array will increase in phase clockwise while its adjacent counterpart will
decrease in the same direction. This will have no effect on the resolved image as the
amplitudes of the electric field are the same, however, this induces an effective doubling
in spatial period. As result the minimum pitch is limited to a density of 0.5λ/NA, the same
as linear alternating phase shift masks [84].
𝐿𝜃𝜆
t(θ) = 2π(𝑛𝑖−𝑛𝑚; 0≤θ<2π
(3.5)
27
It is critical to consider how coherence will impact vortex imaging. Three distinct
scenarios can occur with partially coherent imaging (visualized in Figure 4.2) in the system
employed by Levinson: kpitch>1.5/(1-σ), kpitch≈1.5 and 0.5/(1-σ)<kpitch< 1.5/(1-σ); where σ
is the degree of coherence and kpitch is a process dependent parameter [82].
For
kpitch>1.5/(1-σ) the vortex cores are separated by greater distance than the coherence length
and effectively function as if isolated. Four diffracted order pairs are collected along both
axes. Only a portion of fourth pair are collected limiting full destructive interference of
the system. The forbidden pitch occurs at kpitch≈1.5, vortex spacing is close to that of the
coherence of light and second order diffracted components are only partially collected,
significantly increasing minimum system intensity. At 0.5/(1-σ)<kpitch< 1.5/(1-σ) full first
order information is transmitted, maximizing image contrast. Beyond kpitch<0.5/(1-σ) the
image fidelity is significantly compromised as contrast plummets and background intensity
increases [86].
Fig. 4.2. Pupil plane response to various vortex pitches in a partially coherent imaging system [82].
28
Fig. 4.3. Vortex via morphology and tabulated experimental data [82].
Levinson et al fabricated a four-phase vortex mask and tested its capability in a
248nm KrF negative tone photolithography system across a variety of coherences to
demonstrate pattern density effects. The results are presented on the right hand side of
Figure 4.3. Tight pitch, high resolution contact holes were best served by large NA and
low σ. As the proximity of the vortices increased, pitch dominated CD. Reducing the NA
enabled an increase in process window for 250nm pitch arrays at the cost of ultimate
permissible pitch/resolution.
Decreasing partial coherence further benefited isolated
feature systems (p>600nm), realizing highly circular contact patterns at a wide variety of
process conditions. In this regime, CD and DOF are impacted by degree of coherence and
dose [82].
At the resolution limit of the system, via behavior is consistent through focus and
prints low aspect ratio features. There were however artifacts present, a slightly elliptical
distortion and alternating hole pitch were observed in the 270 ○ phase quadrant. When
resolving at a slightly more relaxed pitch, four distinct contact contours are evident as
29
depicted in Figure 4.3; whose CD behavior is inconsistent through focus [84]. In both
cases the root cause of the deformation was found to be central to the 270○ phase block.
The resulting ellipticity in this region may be attributed to the large etch depth
required to induce a 270○ phase shift and consequent increased susceptibility to error. In
this cavity electromagnetic effects are most prominent and transmission is reduced over
25% as compared to the other quadrants [87]. Simulation demonstrated that by applying
as little as a 20nm mask bias in this region to compensate for these ill effects would restore
circularity [88].
The unique morphologies formed in Figure 4.3 that were observed in the relaxed
pitch system can be attributed to the distribution of the diffracted information within the
pupil. With NA (0.73) held constant between the 210nm and 250nm pitch systems, higher
order diffracted information is partially collected; resulting in asymmetries limiting the
capacity for fully destructive interface. These morphologies could potentially be corrected
in source selection. Source shape may be optimized such that they compliment fringe
contributions for a specific pattern. Alternatively, when permissible, NA may be defined
such that partially collected orders are eliminated [87].
30
4.2 Optical Vortices Lithographic Application Summary
______________________________________________________
Historically IC (integrated circuit) device scaling has bridged the gap between
technology nodes.
Device size reduction is enabled by increased pattern density,
enhancing functionality and effectively reducing cost per chip. Exemplifying this trend are
aggressive reductions in memory cell sizes that have resulted in systems with diminishing
area between bit/word lines. This affords an even greater challenge in the patterning of
contact level features that are inherently difficult to resolve because of their relatively small
area and complex aerial image. To accommodate these trends, semiconductor device
design has shifted toward the implementation of elliptical contact features. It is therefore
critical to provide methods that enhance the resolving capacity of varying aspect ratio vias
for implementation in electronic design systems.
Vortex masks, characterized by their helically induced propagation of light via
four tiered phase quadrature and consequent dark core, afford great potential for the
patterning of such features when coupled with a high resolution negative tone resist
system. Levenson et al. extensively studied the numerical and empirical integration of
vortex masks in 248nm KrF lithography systems for the resolution of 1:1 contacts;
demonstrating the distinct resolution advantage of vortex imaging over traditional
lithography techniques. Ellipticity realized in the patterned features were viewed as an
artifact of the vortex process and attributed to the 270○ phase quadrant. Begging the
question as to whether this phenomena amy be exploited and controlled to augment the
AR in contact features in an extended 193i system.
31
4.3 Objective and Methods
______________________________________________________
The ITRS roadmap mandates sub 24nm post etch contact CD for MPU/Logic, Flash
and DRAM devices by 2016 with sub 2nm 3σ CD control.
With next generation
lithography techniques not yet ready for insertion and the undesirable diminishing
tolerances/increasing complexity afforded by multiple patterning systems leaves the
semiconductor industry seeking an interim solution which enables the continuation of
device scaling. The objective of this study is to investigate patterning technologies that
enable the scaling of contact features while maintaining stringent control over AR. Levison
et al. has demonstrated the resolution advantages achieved in inducing helical wavefront
propagation in negative tone develop (NTD) lithography systems. This research seeks to
adapt Levinson’s work and extend the application of vortex masks to a 193nm immersion
lithography system while investigating methods to modulate AR of the forming features.
KLA Tencor PROLITHTM [89] lithography simulation tools have be employed
for the study of vortex masks. Source augmentation and optical vortex mask design
methods are used as parameters to explore means to influence AR in the resolving of
contact features. A manual iterative illuminator optimization (source configuration,
degree of coherence, polarization parameters etc.) is conducted to maximize process
latitude and the resolving capacity of these systems. With baseline processes established
for the described method, the aerial image of the system is qualified in their ability to
print high resolution elliptical contact features. Characterization metrics include CD, AR
range, process latitude and cross pitch performance.
32
4.4 193i Vortex Simulation
______________________________________________________
Prior to exploring means to manipulate aspect ratio using a vortex mask, it is
critical to demonstrate the advantages of employing this technology as compared to
traditional contact fabrication methods. PROLITHTM [89] vector simulation was chosen
to provide the most accurate model possible. The scalar approximation assumes that the
electric fields of the propagating diffracted information are always parallel. This can
prove accurate under many conditions, however, at large angles it is necessary to
consider the vector nature of the electric field. Using the vector model the aerial image
of a 6% attenuated phase shift mask (the favored mask type for patterning critical contact
layers) and a vortex mask were compared to afford a baseline through which the
advantages of vortex lithography could be exploited. A 193i system was considered
(NA=1.35) with aerial image thresholds of 70/30% (positive/negative tone). The
resultant CDs of the respective systems were qualified using process window analysis
(10% nominal CD). Three dimensional mask effects were not considered (Kirchhoff
mode) in these simulations.
Iterative analysis was used to determine the tightest pitch and smallest CD
permissible in a dense contact array for both systems. This was quantitatively determined
by selecting a minimum normalized image log slope (NILS) requirement of 1.1. A
positive tone system was considered for contacts fabricated with the 6% attenuated phase
shift mask (APM). Source mask optimization was employed to determine the ideal
illuminator for said system. Using a quadrupole source (σc=0.5, σr=0.15) it was found
that 150nm pitch, 55nm CD vias were resolvable with 117nm DOF at 10% EL. These
33
results are in-line with the single exposure limits of 193i lithography as presented in the
literature [90].
A partially coherent illuminator (σ=0.15) was used as the vortex source.
Although not initially intuitive, no advantage is yielded in implementing off-axis
illumination with vortex masks for the decreased modulation penalty incurred. This
becomes more apparent when the fundamentals of partial coherent imaging are reviewed.
Each point in the incoherent source acts as a point source of coherent illumination that
produces plane waves striking the mask at a unique angle. These individual points of the
extended source do not coherently interact and instead the contribution of sources are
incoherently summed. In essence the full aerial image is calculated by considering the
captured diffracted information from each coherent point source and then integrating the
intensity over the source.
The key here lies in the fact that operation occurs in a diffraction limited system
and at the very least two diffracted orders are required to form an image. Embedding
four phase quadrature in the mask results in a phase distribution in the incoherent spread.
By nature of the vortex system there is no DC (0th order) term, consequently this requires
at minimum the collection of +1 and -1 diffracted orders to resolve. In the case of an
extended source this means that just greater than 1/2 of the diffracted spread needs
capturing, which turns out to be the exact same condition as if illuminated with a
coherent source. A point source would provide the greatest image fidelity in a vortex
system, however, based upon real-world tool source configurations a value of σ=0.15
was used in simulation.
34
Stitching vortex masks to form a dense array, a minimum pitch of 110nm with a
CD of 39nm was patternable with 110nm DOF at 10% EL. This provides a significant
boost in resolution (>15nm) and density (40nm) as compared to the results of the single
exposure 6% APM with limited penalty incurred in process latitude (7nm loss DOF). It
should be noted that a relatively tame aerial image threshold of 30% was chosen. With
material optimization post develop CDs on the order of 30nm should be achievable with
single exposure vortex masks.
That being said, it is important to consider the pitch limitations of vortex
lithography. Process latitude is very sensitive to higher ordered beam imaging as is
reported in Figure 4.4. In the 250P case the collection of the additional diffracted
information compromises the integrity of the aerial image (NILS=0.99). Removing the
higher ordered artifacts yields a more desirable image (NILS=2.34). Process window is
reduced from 280nm DOF (10% EL) in the case of 185P to 57nm (10% EL) in the 250P
array. This observed behavior limits the application of 193i vortices to pitches in the two
beam imaging regime. The illumination wavelength in such cases could be scaled to push
the higher ordered diffracted information out of the pupil at the cost of resolution.
The resolution advantage of optical vortices has been demonstrated. It is now
important to explore means to augment feature aspect ratio to accommodate modern and
next generation design schemes. Ellipticity may be induced in the resolving vortices by
source tuning.
Both a dipole source and a customized elliptical illuminator were
investigated. Although different in configuration, the methodology for employing these
directional illuminators was the same. Inherent in their nature, these sources enhance the
aerial image in one domain while diminishing it in the other.
35
Coupling this with
polarization effectively reduces the minor axis via CD while extending the major axis
dimension.
In the case of a dipole source, center sigma can be tuned to vary aspect ratio. The
larger the separation between the poles the greater the unidirectional influence imparted by
the illuminator and consequently greater ellipticity induced. 120P, 140P, 160P and 200P
systems were simulated for a dipole source of 0.01≤σc≤0.41 (σr=0.15). Aspect ratios
ranging from 1:1 to 1:2.03 were achievable, however, fundamentally limited by pitch
employed. A sample diffracted spectrum for σc=0.2 of the 120P system is shown in the
left hand side of Figure 4.5. It can be seen that the tighter pitch pushes the diffracted
information towards the edge of the pupil, therefore the smaller the pitch employed the
more limited the resolution of control in aspect ratio is achieved as there is less room for
variation in center sigma.
Fig. 4.4. Pitch limitations of vortex lithography: 250P (top) and 185P (bottom).
36
Upon closer inspection of the ranges achieveable, it was found that not only does
the resolution of control in aspect ratio variation change with pitch, but also the ellipticity.
For the more challenging pitches the full spectrum of ellipticity is achieved, this is
attributed to the increased rate at which the aerial image degrades in the non-enchaned
domain. The ‘sweet spot’ occurs at 160P where center sigma can be tuned to values up to
0.41, yielding fine control of aspect ratio to 1:1.97. As the pitch becomes more relaxed
and center sigma increases, higher ordered diffracted information encroaches within the
pupil utlimately limiting aspect ratio range.
An undesirable artifact of using source modifiers to augment ellipticty is shown in
the right hand side of Figure 4.6. It would be ideal to fix the minor via axis dimension
while varying the major axis CD to yield a desired aspect ratio.
Employing a
unidirectionally enhanced source deviates from this scenario and creates a two-variable CD
system with negative process window implications as depicted in Figure 4.6. As previously
described, in the case of dipole augmentation ellipticity is establsihed by enahncing and
diminishing the aerial image in opposing domains. This translates to poor process windows
at greater aspect ratios.
Using a similar methodolgy an elliptical illuminator may be implemented to
manipulate ellipticity. Elliptical source creation proves more difficult as radiometric
correction and geometric skewing require consideration. Nonetheless a 2:1 elliptical
source as depicted in Figure 4.7 was sucessfully employed to modulate aspect ratio. The
same trade-offs inherent in the dipole illuminator still exist here as process window
significantly diminishes as aspect ratio increases. Althought source modulation effecitvely
37
augments ellipticity for vortex fabricated contacts, the two dimensional variability in CD
and process window loss is a hard pill to swallow.
Fig. 4.5. Dipole illuminator (σr=0.15): diffracted spectra 120P system σc=0.2 (left), CD behavior of 200P
system (right).
Fig. 4.6. Aerial image intensity and process window at 10% EL for 160P dipole illuminated
system of varying aspect ratio.
38
Utilizing an asymetric mask has the potential to alleviate the burdens of source
induced aspect ratio manipulation. That is to say by fabricating a rectangular vortex mask
(rather than square) elliptical contacts may be realized. Using a partially coherent source
(σ=0.15) a negative one dimensional mask bias was applied to the vortex mask. As
reported in Figure 4.8, stringent control of aspect ratio is achievable up to 1:1.66. As
indicated by the linear trend of the plot, the major axis of the via is held constant as the x
CD is trimmed; addressing the shortcommings of the source augmentation method. A
significant increase in process window is also observed as the major axis aerial image is
no longer being attenuated by a unidirectional source. Unfortuneately there is a limit to
the highest achievable aspect ratio when employing a rectangular mask. Even with -90nm
mask bias only a 1:1.66 aspect ratio was yielded. Increasing mask bias further beyond this
point begins pushing the 1st order diffracted information out of the pupil, compromising
image modulation.
Noting the advantagous aspect ratio range achievable with the source augmentation
method and the desirable process window increase realized through implementation of
mask assymetry, a hybrid integration of both methods proves promissing. A 2:1 elliptical
source was employed in conjunction with a mask bias of up to -50nm. The results have
been posted in the right hand side of Figure 4.8. Aspect ratios of up to 1:1.81 were achieved
with a significant increase in process window. Depsite these marked improvements, it is
important to recognize that there will still be a two dimensional CD variation induced by
the source that requires consideration by the designer.
39
Fig. 4.7. 2:1 elliptical source (left) and tabulated results for varying pitch (right).
Fig. 4.8. Assymetrical mask dimensions: aspect ratio trend for partially coherent source (left), partially
coherent source process window (middle) and 2:1 elliptical source process window (right).
40
4.5 Conclusion
______________________________________________________
The ITRS roadmap of lithography requirements mandates that contact size post
etch be sub 24nm for MPU/Logic, Flash and DRAM devices by 2016. This is no easy task
as contacts have the smallest element area of any other IC design element, have critical
dimensions in two domains and are highly susceptible to MEEF, defectivity, nonuniformity & edge roughness. With EUVL not mature enough for insertion, an interim
patterning solution is required for the continuation of scaling.
Vortex masks afford the potential to pattern contacts at single exposure CDs much
lower than achievable with current 193i APMs. Furthermore, it has been demonstrated
that it is possible to augment the aspect ratio of vortex fabricated contact features by
manipulating source shape and mask dimensions; a critical characteristic in
accommodating modern and next generation device design. Despite these advantages it
should be noted that the challenges associated with vortex mask fabrication, 3D mask
effects and necessity of a cut mask may inhibit their adoption.
41
Chapter 5. Directed Self Assembly
______________________________________________________
5.1 Introduction to Assembly Mechanics
State of the art multiple patterning immersion lithography systems have enabled the
fabrication and mass manufacturing of 22nm node technology at the cost of significant
process complexity. Progression beyond this node with present patterning systems induces
even more challenges as design tolerance significantly diminish. With extreme ultraviolet
lithography requiring significant development prior to deployment, the semiconductor
industry has begun seeking solutions that will enable the continuation of chip scaling that
are largely independent of wavelength. Directed self assembly is one such technology that
has garnered attention in its ability to extend the capabilities of conventional lithography
systems, bringing it from mere lab-scale experiments to the forefront of semiconductor
patterning research. DSA’s candidacy as a patterning solution lies within the nature of the
technology itself. DSA’s resolution is not limited by diffraction, but the natural periodicity
of the polymer system; making it an exemplary complimentary technology for current
optical systems and future extreme ultraviolet solutions.
Directed self assembly relies on block copolymer (BCP) systems that under specific
conditions can rearrange themselves into a favorable ordered morphology for a given
equilibrium minimum-energy state. When properly engineered, an aligned DSA system
can produce high symmetry nano-structures at sub-lithographic resolutions.
In the
proceeding paragraphs a brief introduction to DSA mechanics will be explored.
In random copolymer systems monomers are distributed arbitrarily within the
polymer chain, yielding a non-ordered structure. BCPs afford segregation of the monomers
into unique domains, enabling the systematic integration of two distinct materials in one
42
chain. This order lends the BCP system unique properties which may be exploited in a
variety of applications, including the formation of nano-scale structures. Linear A-B
diblock covalently bonded copolymers provide a binary scheme (‘A’ vs ‘B’), affording
etch selection in the ordered system and consequently facilitate pattern transfer via
sacrificial template once a block has been successfully removed; prompting a wide
investigation of these polymers for integration in the semiconductor industry.
Equipped with a BCP structure for DSA, it is necessary to induce microphase
separation within the linear diblock copolymer. When annealed, thermodynamically
driven assembly initiates. Order is established in the melt parallel to the assembling
polymer and assembly driving force (mass density wave) occurs perpendicular. As
polymer assembly matures, defect motion (disclination/dislocation) and annihilation drive
the kinetics of microphase development until the polymers have assembled into an
equilibrium phase geometry [91]. The thermal energy imparted to the system enables
diffusion to occur on an experimental time scale conducive to maintaining throughput
necessary for a manufacturing environment.
Fig. 5.1. Diblock copolymer phase diagram: (a) cubic, (b) cylindrical, (c) lamellar, (d) inverse-cylindrical,
(e) inverse-cubic [93].
43
The inherent immiscibility of the BCP establishes several distinct possibilities for
phase formation. As modeled by Leibler, the phase separation is dependent upon material
system employed (volume fraction of block A to block B, Flory-Huggins interaction
parameter and degree of polymerization) [92]. The five most prominent are depicted in
Figure 5.1; dimensions are limited only by molecular size and kinetic rate. Cylindrical and
lamellar formations most readily translate into current integrated circuit (IC) design
schemes based upon traditional photoresist lithographic systems.
Although temperature facilitates microphase separation in the BCP, it is critical to
consider how the separated system will align. As alluded, DSA is a thermodynamic
equilibration process. The degree of perfection realized is a function of thermodynamic
process parameters and the boundary conditions during assembly, that is to say the surface
and interfacial energies largely dictate the pattern yielded in addition to the anneal
temperature and time [94]. Consequently each component of the BCP’s surface affinity to
the underlying layer will significantly influence assembly. In an untreated system it is
likely that one of the polymers in the diblock will be preferentially attracted to the
underlying layer and uniformly coat (or ‘wet’) the surface resulting in undesirable
orientation; it is therefore necessary to monitor this relationship. Preferentiality may be
prevented through the implementation of a neutral layer, mitigating the potential for one
block to have a greater attraction toward the underlying layer. The importance of
orientation consideration is described in Figure 5.2.
44
Fig. 5.2. Orientation of cylindrical and lamellar self assembly systems: (a) parallel cylindrical, (b)
perpendicular cylindrical, (c) parallel lamellar (left) perpendicular lamellar (right) [93].
The fundamental mechanisms involved in a self assembling system have been
described.
It is now important to understand how these principles can translate to
meaningful semiconductor IC processing. A typical industry application might include the
use of cylindrical BCP assembly for the formation of two dimensional interconnects. It
will therefore be necessary to take a chaotic system such as the parallel cylindrical case
depicted in Figure 5.2b and establish order to generate desired contact hole features. The
key in achieving desired alignment relies in exercising control of the boundary conditions
of the assembling system such that the preferred morphology is more energetically
favorable to achieve.
This is largely accomplished through manipulation of the assembly interface.
Graphoepitaxy DSA employs a topographical guide pattern (GP) to confine assembling
polymers, effectively establishing order. Each BCP has a natural period (L0) at which self
assembly becomes thermodynamically favorable, dictated by the properties of the
composing monomers. This critical length will determine permissible GP spacing.
The surface properties of the guide pattern can significantly impact domain
formation. Russel et al. had shown that domain orientation occurs at the system interfaces
and propagates from these locations through the film [95]. As empirically and numerically
45
demonstrated by Liu et al., the most desirable orientations are achieved when interfacial
energy is minimized [96].
It is therefore favorable to engineer system parameters
(boundary conditions) to accommodate this scenario. This may be realized by tuning
material compositions as prescribed in Figure 5.3 for a PS-b-PMMA film system.
Boundary considerations may extend beyond orientational order and phase
development. When a template has low hydrophilicity the walls are wet by the PS block
and the majority of PMMA forms within the contact core. Rendering the interface
hydrophilic will result in the formation of a thin PMMA wetting layer on the template.
This reduces the total volume of PMMA available at the core, resulting in greater ultimate
resolution.
The process flow for the formation of contact features using a cylindrical forming
BCP system is divulged in Figure 5.4. In such configuration a topographical hole-type
guide pattern is imaged in photoresist using a conventional projection lithography stepper.
Upon completion of the pre-pattern imaging, the BCP film is applied to the substrate and
an anneal ensues to initiate assembly. Post assembly singular domain removal is required,
the mechanisms of which are reported in the proceeding section.
46
Fig. 5.3. Calculated values of interfacial energy for varying styrene content in P(S-r-MMA) brush
between: PS/PMMA homopolyers (left) and cylinder or lamellae forming PS-b-PMMA (right). Ultimate
morphologies realized for given brush content (bottom) [96].
Fig. 5.4. Contact shrink process using graphoepitaxy DSA [97].
47
5.2 State of Directed Self Assembly Research
______________________________________________________
DSA affords a relatively simple, high throughput and cost effective means to
fabricate high resolution nanostructures in the sub 10nm regime. Furthermore, the contact
edge roughness (CER) of these assembled features is a thermodynamic property of the
system and has the potential to be less than 1nm, meeting the ITRS specifications for 22nm
node and beyond [98]. Under ideal circumstances CDs in a self assembling polymer
system are governed by the natural period of the polymer. Consequently, an improvement
in CD uniformity is achieved as compared to traditional lithographic processes which are
subject to proximity effects. While the advantages of a self assembling patterning process
are clear, it is important to attain an understanding of the current state of DSA research and
how process parameters influence assembly within the confines of a technologically
relevant design space. PS-b-PMMA cylindrical processes such as the one detailed in
Section 5.1 have been implemented in 300mm fabrication systems to examine how process
factors such as resist, thermal parameters, polymer thickness and domain removal affect
contact formation.
In this section the challenges associated with each stage of the
graphoepitaxy process presented in literature are reviewed.
Guide Pattern
Control over the assembling system may be excised through GP manipulation.
When properly engineered, the assembling system may be confined such that desirable
morphologies are most energetically favorable to form. The frequency of which is
achieved through GP dimensioning to realize a designed number of via features based upon
polymer size. The shape, area, and surface properties of a GP will dictate the ultimate pitch
and number of contacts formed. Therefore strain is induced on the lithography system to
48
produce consistent contours as alterations can cause via relocation. Conventional via
contours as presented in Figure 5.5 enable contact doubling and tripling effects. Complex
structures as seen on the right hand side of Figure 5.5 may also be achieved, affording
designers advanced layout capabilities.
When generating guide patterns it is imperative to consider the design implications
associated with their placement. At denser pitches a thicker polymer coat is required to
accommodate under-filled contact holes, while at relaxed pitch, thinner films are desirable
to prevent overflow [98]. Such behavior is not specifically limited to the cylindrical DSA
process but the graphopeitaxy method in general. It is evident that a designed based process
parameter optimization is necessary to realize cross pitch DSA patterning as the polymer
volume in the pre-pattern will influence its energy state during assembly. In diverse layouts
this may prove challenging and the resultant process window may be significantly
compromised.
Fig. 5.5. GP design’s influence on polymer assembly [98].
49
Seino et al. proceeded to assess processes limitations in the investigation of
assembly tolerance with regard to GP CD in a 53.9nm natural period DSA system with a
polymer thickness coated to 100nm in the 280nm pitch system studied. When the template
CD was too small (~23nm) over-filling was observed. For GPs dimensioned greater than
75nm under-filling was reported. Both scenarios resulted in degenerative assembly and
significant CD variation; empirically justifying the need for pitch consideration in diverse
system designs.
The healing capacity of a DSA process was also demonstrated by Sein et al. A
target GP of 70nm was patterned across a 300mm wafer using a 193nm ArF stepper. A
three sigma variation of 7.6nm from the average 72.1nm GP CD was observed. This
resulted in a 28.5nm PMMA core with only a 1.4nm three sigma value; mitigating the
variation induced through the lithography patterning. CER pre-pattern transfer was also
explored in this study. CER was successfully mitigated by the DSA process, alleviating
roughness nearly seven times that of the pre-pattern CER (from 3.5nm to 0.5nm) [99].
Thermal Process
The thermal requirement of an anneal process to initiate assembly, above the glass
transition temperature of the polymer system to ensure through film orientation
propagation [95] (180○C to 250○C for the polymers reviewed in literature), pose challenges
for many conventional lithography resists as reflow is typically observed at these
temperatures [97,98]. Guide pattern deformation can mitigate the advantageous CD
uniformity gain achieved in DSA processes. For this reason tri-layer graphoepitaxy
processes have been developed where resist patterns (layer #1) are used to transfer a guide
template into a more robust sublayer (layer #2) which is more apt to contend with the anneal
50
temperatures that initiate microphase development [98,99]. Subsequently the BCP pattern
(post domain removal) may be realized in a hard mask (layer #3) which will act as the
ultimate template for bulk transfer.
Alternatively crosslinkable resists have been
demonstrated, that when hardened can withstand the thermal processing requirements [97].
Tiron et al. determined that an anneal temperatures spanning 170○C-250○C for 210m (L0=38nm, SiARC GP) were able to realize the desired ordered state [98].
Alternatively Rathsack et al. found a 220○C, 300s anneal (L0=30nm, x-link resist GP)
afforded the best balance between pattern quality and throughput [97], while Seino et al.
annealed (L0=54nm, SOC GP) at 240○C for 60s [99]. It is evident that the thermal
processing parameters are contingent upon material system employed (molecular weight,
tacticity, glass transition temperature etc.), throughput desired and system specific
optimization is required [100].
Domain Removal
Post assembly one of the polymer blocks has to be selectively removed such that
pattern transfer may be executed. In the case of PS-b-PMMA DSA systems the PMMA
via core is removed. There are several enabling methodologies to do so: wet etch, plasma
treatment or a combination of hardening UV exposures and wet etch. Dry etching in an O2
plasma is difficult because the selectivity of PS:PMMA is approximately 1:2. The resultant
loss in film thickness will make it difficult to employ PS as a mask during the pattern
transfer process [97,98]. Wet etch affords nearly ideal selectivity and is an effective
medium for PMMA removal. Wet treatment alone can prove challenging as the PMMA
chain’s covalent bond remains intact. With this method some volume of PMMA may
selectively redistribute from the contact hole to surface. A UV exposure may be coupled
51
with an organic solvent removal process to alleviate this issue, where the exposure crosslinks the PS and de-polymerizes the PMMA chains via chain-scission [97-99].
Post domain removal, Tiron et al. demonstrated that dry etch may be successfully
implemented to transfer the contact hole feature into the underlying lithography stack.
Ar/O2 based dry etch may be used to break through the neutral layer (purposed to achieve
desirable orientation of assembling polymers) and remove any residual PMMA [101,102].
A highly selective CF4 based etch can be employed to transfer the contact pattern into the
underlying SiArc layer [98,100]. 16nm CDs were reported post SiArc transfer in a 38nm
natural period polymer system. A CD variation of below 2nm was realized across a pitch
range of 100nm to 350nm [98].
A HBr/CL2/O2 plasma may then be used to transfer the hard-mask pattern into bulk
silicon [101,102]. It has also been shown that in spin-on-carbon (SOC) systems, an O2 etch
may be employed to transfer the SiArc pattern. The results of these processes in a 36nm
natural period polymer system are visualized in Figure 5.6. Ultimate CDs within the bulk
of 11nm were reported. As etch processes mature CDs sub-10nm are permissible [101].
The most critical step of the etch process occurs in the removal of the PMMA domain. The
ultimate CD observed in bulk is most sensitive to the initial integrity of the PS template
[102].
Fig. 5.6. 36nm PS-b-PMMA BCP system pattern transfer into: (a)SiArc hard mask, (b)Si and (c)SOC [100].
52
Despite the distinct resolution and rectifying advantages identified in DSA systems,
defectivity and metrology are significant hurdles hindering the adaption of this technology.
The thermal and kinetic mechanisms that dictate the integrity of a DSA process are vastly
different than the photochemical reactions in photolithography, consequently existing
lithographic metrology process may not be directly relevant as new defect modes are
introduced [103]. Phase separation defects such as dislocations and disclinations, artifacts
inherent in the nature of the self assembling process, require monitoring [104].
Furthermore, even in seemingly defect free assembly as observed through top down SEM,
complex three dimensional through film morphologies may exist [105,106]. DSA process
induced defects such as foreign particles and polymeric under/overfilling can also
significantly hinder assembly as the polymer negatively responds to the stress field
associated with these defects [107,108].
Bencher et al. have focused their efforts on establishing a baseline for DSA
metrology [104,109]. In their work a CD-SEM defect inspection tool was used to qualify
DSA process integrity. A 2µm field of view was used to inspect the 12nm CD, 25nm pitch
line/space patterns. A 120mm2 search region was employed on a 300mm process of record
wafer. A total of 3,651 defects were identified. A randomly sampled subset of 91 of these
defects was further characterized through manual classification via SEM. It was found that
the largest defect densities are particle based; which is to be expected in a pilot
manufacturing process. Only four DSA specific epitaxial defects were observed, which is
not to say that a larger ratio is not present as only a subset of the total defects were
characterized [104]. Although rudimentary in nature, the simple study provided validation
in the ability of a metrology tool to successfully identify DSA specific dislocation defects.
53
Cylindrical specific DSA systems have also been investigated for their defectivity
in the formation of contact hole features. Programmed defect reticles can be used to
optimize metrology process recipes, enabling the study of specific defect types of interest
at known locations; effectively reducing the time needed to sort through random defect
distributions. This enables a quantification of recipe sensitivity and ability to characterize
metrology reliability over several passes.
Using this methodology Harukawa et al.
identified two defect mode categories: DSA material defects occurring despite a normally
printed graphoepitaxy GP and failure of GP fidelity that translates to disrupted DSA
formation. Three regimes exist with regard to GP sizing: significantly undersized GPs
where self assembly is absent, nominal GP dimensions where desirable formation occurs
(at integer multiples of natural BCP period) and oversized GPs where undesirable
frequency multiplication of contact hole features is observed. The cylindrical PS-b-PMMA
system employed had a +/- 10nm variation tolerance in CD of the resist pre-pattern. At the
limit of these boundaries distortion was recorded in assembly. The metrology recipe was
executed 10 times, some defects only carried a 40% capture rate demonstrating the
necessity for further recipe tuning and presenting the difficulty in consistently sensing DSA
defects [107].
Defect classification enhancement systems have also begun being explored,
mitigating the necessity to evaluate identified defects manually to determine their nature.
One potential solution analyzes the light scattering signature of the defects identified under
optical microscopy. Through this methodology specific attributes of defect modes may be
characterized by their corresponding signals. Using this ideology 1,000 defect images were
run through an algorithm and multiple defect types were successfully identified [107].
54
Alternative image analysis systems may also be employed. Tiron et al. have implemented
Voronoi diagrams that connect bonds to nearest neighbor’s cores in densely packed
cylindrical arrays. Polygons are then drawn from the bonds and based upon the order of
the polygon it can be determined if a DSA specific phase defect is present [103].
Cao et al. have begun exploring the transition of DSA into a manufacturing
environment by installing the flow on a commercial system and monitoring week to week
defectivity of a DSA system. Wafer metrology was carried out on a KLA Tencor 2835
post PMMA removal to identify defect locations. A subset of 200 of the total defects was
randomly sampled for further evaluation via SEM. An overall defect density of 979
count/cm2 was observed. The size distribution of the defects were classified on a week to
week basis across a period of three months and were consistent during this time frame with
most particle defects coupled in zones of similar size below 200nm. During this time frame
three batches of BCP were installed. After the second batch of BCP was installed a 90%
increase in embedded particle defects was observed.
This was attributed to the
modification of dummy dispense for the second batch to preserve material.
The
reinstallation of the material with a complete line flush alleviated the increase in particle
defects. Cao’s defectivity monitoring has identified that the majority of defects associated
with a manufacturable DSA process lie within the confines of the process itself and are not
DSA specific, although the process is susceptible to slight material variation [110].
55
5.3 Directed Self Assembly Literature Summary
______________________________________________________
Self assembling polymer systems are by no means new to the scientific
community. Their application in a high volume manufacturing environment for
semiconducting device patterning is and carries high expectations with a recent
resurgence in research and development. Such technology circumvents the limits of
diffraction limited projection lithography systems in that the ultimate pattern density is
dictated by molecular size. Despite this distinct resolution advantage, the relative
immaturity of the DSA process has presented several key challenges that require
consideration moving forward.
The most pertinent of which involves demonstration of consistency and control
over defect formation/identification. For DSA to be adopted as a manufacturable
solution, wafer scale defect free regions must be exhibited by this technology.
Furthermore, the ability to detect anomalies with a metrology tool set is imperative. This
task has become exceedingly difficult as new through film defect modes are introduced
specific to this technology.
As reported, research is underway to optimize this process to extend control over
the assembling system and make undesirable morphologies energetically unfavorable to
form. This entails the study of system boundary conditions, tuning process parameters
(anneal temperature/time), materials (molecular weight distribution, size control and
purity) and pattern transfer mechanisms. If the efforts to realize a stringently controlled
DSA process come to fruition, this technology has the capacity to extend the scaling of
semiconductor devices in the realization of ultra-high resolution images.
56
5.4 Objective and Methods
______________________________________________________
The importance in the continuation of contact feature scaling while maintaining
control over aspect ratio has been outlined in the introductory sections of this document.
In conducting a thorough literature review of the state of DSA research there has been
limited publication on modulating AR in the patterning of via features using this
technology. Furthermore, there has been even less study coupling a theoretical DSA model
to experimental results seeking to augment the AR of cylindrically oriented BCP system.
It is the objective of this research to explore DSA as an alternative patterning
technology and investigate how contact feature AR may be augmented in advanced nodes.
A cylindrically forming PS-b-PMMA 32nm natural period polymer system will be
thoroughly analyzed in this work. Process variation (PV) band simulations will be
executed on the graphoepitiaxial GP to assess system tolerance and response to various
contours in the formation of elliptical contact features. This data will then be compared to
an experimental process fabricated in a 300mm manufacturing environment at the Center
for Nanoscale Science and Engineering.
GP PV bands ranging +/- 16% (2.5mJ) and +/- 40nm from nominal dose/focus are
generated via a commercial scale OPC engine from a test macro consisting of dense
variable AR (1:1 to 2:1) contact arrays. These contours act as an input to International
Business Machines’ (IBM) proprietary compact DSA simulator.
The response of
cylindrically forming DSA polymers to GP variation and AR will be monitored. A baseline
will be established for pattern tolerance and DSA morphology.
The empirical portion of this study involves process optimization and development
for a cylindrical DSA system in a 300mm environment. This was completed through the
57
evaluation of DSA (neutral layer, brush, BCP) and resist materials. Considerations for
resist selection was based upon resist profile, LER/LWR, thermal stability and ease of
removal. DSA material was qualified on interfacial interaction, BCP preferentiality and
DSA alignment. Inspection was carried out via CDSEM.
GP exposures are executed on ASML Twinscan 1950i and 1700i lithography
systems. Material applications occur across a suite of TEL Clean Tracks including the
Lithius, Act and Pro V systems. CDSEM measurement is executed on a Hitachi CG 4000
SEM. All processing occurred at the College of Nanoscale Science and Engineering’s
Albany NanoTech Complex.
Once the DSA process had been effectively established, polymer assembly was
evaluated in its capacity to produce elliptical contact features using the same test structures
simulated. A SEM was be used to ascertain aspect ratio limits of the DSA process and
process latitude will be derived from image analysis. Empirical and simulation results are
compared to evaluate model accuracy.
58
5.5 Compact Directed Self Assembly Model
______________________________________________________
Despite significant progress in the material processing aspect of DSA in recent
years, a large amount of work remains in the domain of modeling and computational
lithography. This is largely an artifact of the challenge involved in coupling optical
lithography models with the thermodynamic and kinetic forces that drive material
assembly. For DSA to be adopted by the semiconductor fabrication community an
integration scheme akin to what is available in today’s computational lithography solutions
must be compiled.
Designing guide patterns that yield precise assembly at a desired location requires
rigorous simulation. Not only would a model aid in GP generation, it could support process
development in understanding how lithography imaging tolerances influence ultimate
process window. A representative computational lithography assessment flow is outlined
in Figure 5.7.
Fig. 5.7. DSA specific design technology co-optimization flow [111].
59
A target pattern is decomposed into mask objects which are then subjected to OPC
algorithms. Resist models are implemented to simulate the resultant product of an
exposure using the generated mask. Contours are produced across a set of process
conditions resulting in guide patterns which are subsequently subjected to DSA modeling,
predicting the probable domain formation locations. Iterative mask adjustments ensue until
the target converges with DSA simulation output within an acceptable process tolerance.
The key difference between the current computational lithography infrastructure and a
DSA equipped complete computational model is the DSA simulator, which is the focus of
this work.
Although the intricacies of the proprietary IBM model may not be discussed in
detail here, the fundamental operating concept may be presented. The compact simulator
is an abstract two dimensional model which uses only the DSA via and GP edge positions.
Utilizing image processing techniques the GP may be decomposed into discrete pixel
contours. Using this information as an input, thermodynamic relations are employed to
calculate probable domain formation locations through the minimization of total free
system energy.
Despite its relatively simplistic nature, the compact model has a significant
advantage over the three dimensional models reported in literature [112-114].
The
computational power and CPU time required by the complex Monte Carlo, Molecular
Dynamics and Self-Consistent Field Theory models are not practical for full chip
optimization. In a bench mark case study a set of via level GPs were simulated covering
an area of 9000nm2 using both models. The Monte Carlo model consumed over 100 CPU
hours to generate output whereas the compact simulation completed in less than two
60
seconds, an over five orders of magnitude improvement. The average discrepancy in
centroid position between the models is on the order of half a nanometer [111]. Further
studies validate the accuracy and computational efficiency of the compact model
[115,116].
61
5.6 Process Variation Band Simulation
______________________________________________________
Process variation band simulations are invaluable in replicating real-world tool
and fabrication tolerances. Inherent in the layered nature of semiconductor device
manufacturing, imaging will not always occur on a planar surface. It is therefore
necessary to account for the added defocus incurred in resolving images in the later
stages of the manufacturing. Furthermore, additional defocus and dose variation are
induced by the limitations of the stepper. PV band modeling accounts for these factors in
addition to assuming the complete computational model (source, OPC, resist etc.) in
generating a set of contours representative of the patterns which would be realized in
production.
GP PV bands were generated using a commercial OPC package from an IBM test
macro consisting of dense variable AR contact arrays. The original post OPC layout is
posted in Figure 5.8 with the target features shown on the right hand side. The minor axis
of the via is fixed at 64nm while the major axis is extended in 10nm increments up to
134nm (AR 2:1). PV bands were created for a range of defocus from -40 to 40nm and dose
variations of +/- 16% (2.5mJ) from nominal.
Defocus on the order simulated had a minimal impact on the integrity of the pattern
realized as depicted in the left hand side of Figure 5.9. Focused induced CD changes were
insignificant and on the order of 1.5nm. The resultant change in contour shape for a given
defocus value is slightly more apparent, however, will not translate into an appreciable
effect at wafer level during assembly for the range simulated. The contours are
substantially more susceptible to variations in dose which is an artifact of the sensitivity of
62
the photoresist as demonstrated in Figure 5.9. A 30% (5mJ) dose variation results in a
delta CD of ~45nm. The full PV spectrum is presented in Figure 5.10.
Fig. 5.8. AR construct test macro.
Fig. 5.9. PV bands 1.93:1 contact feature: focus variation (left) and dose variation at best focus (right).
63
Fig. 5.10. PV spectrum (outer-inner).
Using the extreme cases (outer & inner PV band) as well as the nominal
condition, a set of contours were captured to be used as the input to the compact DSA
model. These GPs were simulated using a BCP of natural period 32nm (akin to the
empirical process condition). It can be seen in Figure 5.11 that perhaps the range of PV
band contours generated were a bit ambitious. In the case of a severe overdose, assembly
proves unfavorable with significant energy distributed within the contact. Underdosing
yields undesired via multiplication with multiple contacts collocated in undesirable
configurations.
The nominal case proves promising in demonstrating the ability to manipulate the
ellipticity of a cylindrically forming BCP. For a pre-pattern with an AR up to 1.46,
singular domain formation is observed with an elliptical distribution. For the 1.31 AR
(84x64nm) GP it is predicted that an AR of 2.66:1 (32x12nm) is permissible. Lowering
the AR of the prepattern to 1.15:1 (74x64nm) affords a slightly less elliptical feature at
1.5:1 (18x12nm).
64
Fig. 5.11. GP input (grey) and domain prediction (overlay).
Generating a new set of PV bands with constricted dose affords a much more
reasonable replication of the type of variation that would be expected to be seen at wafer
level. The difference between inner and outer contours is now on the order of 10nm (rather
than 40nm). This corresponds to a dose variation at tool level of 7% (1mJ). The domain
predictions for the reduced PV band set are posted in Figure 5.12.
Upon inspection it can readily be seen the challenge involved in controlling the AR
of a cylindrically forming polymer.
Although AR augmentation is plausible as
demonstrated, controlling the degree of ellipticity for a given contour would prove
exceedingly difficult. Revisiting the 3.1:1 case (third column from left in Figure 5.12)
three distinct outcomes occur for a given contour subjected to a 3.5% (0.5mJ) dose
variation (equivalent to ~5nm in CD at wafer level): an overdose results in a circular
contact, a nominal pre-pattern results in a high aspect ratio via bar or contact multiplication
may be observed as the result of underdosing.
65
Such sensitivity is undesirable in
manufacturing environment and successful integration would be contingent upon tool
specification.
Fig. 5.12. Reduc ed constraint PV band simulation.
66
5.7 Empirical 300mm Cylindrical Directed Self Assembly
______________________________________________________
Prior to the initiation of experimentation extensive material evaluation and process
tuning were completed. This involved qualifying DSA specific material interactions with
a standard lithography stack (ARC, OPL & resist). Considerations for resist selection were
based upon resist profile, LER/LWR, thermal stability and ease of removal. DSA material
was qualified on interfacial interaction, BCP preferentiality and DSA alignment. DSA
specific process development included thermal tuning by varying anneal time and
temperature as well as optimizing coat thicknesses of the BCP.
GP exposures were executed on ASML Twinscan 1950i and 1700i lithography
systems. Material applications occur across a suite of TEL tracks spanning LITHIUS and
ACT platforms. CDSEM inspection is executed on the Hitachi CG 4000 SEM line. The
process of record employed in this research will not be divulged nor will the specific
materials used in the system.
The exact details are IBM proprietary, however, a
representative flow is presented in reference [97].
The test macro outlined in section 5.6 was fabricated in a bright field mask design.
Exposures (NTD) occurred on a 300mm dose stripe wafer (+/- 3.5% step) at best focus to
accommodate the PV band simulations.
The resist performance was controlled as
demonstrated by the linear CD/dose relationship in the resolution of the GPs depicted in
Figure 5.13 for a variety of ARs.
67
Fig. 5.13. Resist performance and CD range of interest (dashed box).
Fig. 5.14. Target vs experimental GP (CD error < 5%).
68
SEM images of the post-litho results are posted in Figure 5.14. Fitting these to
the targeted simulated data provides excellent agreement as visualized in Figure 5.15.
For the nominal GP case across all ARs the model error is below 8% in comparison to the
empirically realized patterns. In both Figure 5.14 and 5.15 it can be seen that the higher
AR features contend with CER. This is significantly mitigated in the hardening process
where the resist is cooked at a higher temperature than that which the DSA anneal is
driven at, smoothing the edges. Not only does the hardening bake alleviate CER it
solidifies CD such that a change isn’t likely to occur during assembly. Post hardening
data is presented in Figure 5.16.
With the GP process characterized and in working order the wafer was ready for
DSA. A 32nm natural period cylindrical BCP composed of PS-b-PMMA was applied
and an anneal ensued to drive assembly. The results of this processing are presented in
Figure 5.17. It should be noted that a key challenge in quantifying DSA patterns lies with
the pre-patterns sensitivity to the SEM. Interaction with electrons (even at low
acceleration voltages and probe currents) change the surface properties of the GP often
rendering them unsuitable for DSA or significantly compromising the integrity of a
realized pattern. Consequently the exact CD of the pre-pattern is unknown for the posted
results, however, the GP characterization previously reported took place in the same
dense array so it reasonable to assume that the CDs should be on the same order.
69
Fig. 5.15. SEM overlay: 1:1 (left) and 1.31:1 (right).
Fig. 5.16. Post harden GP.
In comparing the simulation output with the empirical results (Figure 5.12 vs
5.17) it can be seen that the PV bands afford a realistic representation of the process. For
each AR within the frame of image capture, most scenarios predicted for a shift in
contour dimension are represented. The validity of the model is further supported by
using an actual photoresist GP SEM image as an input to the simulation. As visually
depicted in Figure 5.18, a contour is fitted from an experimental GP which the simulator
then decomposes for domain formation prediction. Comparing the model output to
another location within the same contact array from which the physical GP was extracted
yields nearly perfect agreement.
70
The post BCP SEM images shown in Figures 5.17 & 5.18 demonstrate that AR
augmentation is possible in practice when using a cylindrically forming polymer by
altering the contour of the GP. Selectively removing the PMMA cores via dry etch
affords another means to further manipulate AR as presented in Figure 5.19. In cases
where multiple domains are collocated in close proximity the etch appears to break
through the thin separating layer of PS resolving higher AR feature, further analysis is
required to validate. Despite the sensitivity to pre-pattern geometry, it is possible to
manipulate sub 20nm contact featuring using a cylindrical DSA process. To do so at the
level required for high volume manufacturing very stringent process control must be
exercised and requires further scrutiny through subsequent study.
Fig. 5.17. Post BCP (contrast enhanced images).
71
a)
b)
c)
Fig. 5.18. Model Validation: a) Simulation Input, b) Domain Prediction and c) Empirical Data (contrast
enhanced).
72
Fig. 5.19. Post etch cylindrical DSA images.
73
5.8 Conclusion
______________________________________________________
The importance of accommodating multiple AR design schemes for contact and via
levels for the scaling of technology has been previously outlined. With EUVL under
development and facing significant technological hurdles with regard to source power,
alternative patterning solutions are required to adhere to the ITRS roadmap. DSA affords
the opportunity to pattern features whose dimensions are only limited by the natural period
of the polymer employed while still complimenting current lithographic techniques. This
research sought to exploit the resolution advantages of DSA while exploring whether a
cylindrically forming polymer system had the capacity to manipulate AR for contact level
lithography. In doing so PV band simulations were coupled with IBM’s compact DSA
model to attain an understanding of process sensitivities and evaluate model accuracy using
empirical results.
Both experimental and model data validate that manipulation of the GP contour
can result in elliptically forming DSA features in a cylindrical system. The 32nm natural
period polymer employed in this experiment yielded sub 20nm CD contacts with ARs
greater than 2. Furthermore, it was shown that even a GP that results in the formation of
two distinct domains may be transformed into a higher AR feature utilizing dry etch if the
PMMA cores are collocated in close proximity. Perhaps the most important consequence
of this work highlights the sensitivity of the DSA process to the pre-pattern. CD variations
on the order of 5nm represent the difference between an elliptically forming contact and
multiple circularly forming features.
74
Chapter 6. Closing Remarks
______________________________________________________
The ITRS releases yearly assessments detailing the needs of future inventions and
technical challenges across microsystems development. The most recent 2013 technology
characteristics summary mandates the need for a patterning solution that is capable of
imaging sub 18nm contact features with 1.2nm three sigma CD control by the year 2018.
This becomes exceedingly difficult as contacts have the smallest element area of any other
IC design element, have critical dimensions in two domains and are highly susceptible to
MEEF, defectivity, non-uniformity & edge roughness. A task daunting enough in itself
will incur additional challenges as that same year will see the introduction of 450mm
wafers into high volume manufacturing, requiring throughput accommodation.
Augmenting the shape of the contact feature can support scaling trends while
improving process latitude. In a design shrink where interconnects are formed by circular
contacts, dimensions will be reduced in all directions inducing strain in the lithography
system. Variable aspect ratio via features afford two degrees of freedom when scaling.
With a dimensional decrease along their minor (shorter) axis, elliptical vias may be
dimensioned such that the major (longer) axis is maintained or extended; increasing contact
area and enabling the maintenance of a patternable threshold to maximize process latitude.
Additionally, such augmentation empowers designers with advanced layout options;
providing a platform to advance technology.
Current 193i optical lithography has been exhausted of resolution enhancement
methods beyond multiple patterning schemes that significantly increase process
cost/complexity and the next logical iteration of source wavelength reduction (EUVL) is
too immature for deployment. Consequently the scientific community has begun seeking
75
alternative patterning technologies that will enable the continuation of the high resolution
imaging.
EBL, NIL and plasmonic solutions have demonstrated the potential to
circumvent the diffraction limit inhibiting optical lithography, however, each have their
own set of unique challenges that have hindered their adoption. Consequently, the need
for a next generation patterning technology that can extend microsystem fabrication exists.
In this work two unique approaches were studied for the realization of high
resolution contact imaging while maintaining stringent control over AR. The first sought
to exploit the nature of optical vortices through a four tiered phaseshift mask that induces
helical propagation into incident wave fronts. As a single cycle of an optical vortex is
traversed from 0 to 2π, a phase singularity is observed at its core with zero intensity.
Consequently an optical vortex lithography system coupled with a high resolution negative
tone resist has a dimensional capacity limited only by the amount of stray light scattered
within these dark areas, source employed and integrity of the fabricated mask.
Utilizing rigorous aerial image vector simulation it was found that vortex fabricated
vias provide a distinct resolution advantage over traditionally patterned contact features
employing a 6% APM. 1:1 features were resolvable at 110nm pitch with a 38nm CD and
110nm DOF at 10% EL. A conservative estimate of the true potential of this technology
as a 30% resist threshold was chosen for simulation. Furthermore, iterative source-mask
optimization was executed as means to augment aspect ratio.
By employing mask
asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1
were achievable, however, this range was ultimately dictated by pitch employed.
Despite these promising results a significant amount of research remains in vortex
lithography. Three dimensional mask simulation requires consideration as the topography
76
of the phase quadrature may induce complex electromagnetic effects compromising image
fidelity. Additionally, empirical results in a 193i system are required to validate simulation
output. Mask fabrication may prove challenging, however, grey scale lithography may
alleviate this burden. Coupling the vortex imaging with advanced materials and etch
processes present in industry may prove promising in addressing the needs of contact
feature fabrication for next generation technology nodes by extending the CD realized in
resist.
DSA is also a complimentary patterning technology which may be inserting into
the current manufacturing infrastructure and tool set seen in industry today.
DSA
patterning circumvents the diffraction limit of projection lithography through its thermally
initiated material assembly process. That is to say ultimate pattern densities are dictated
by polymer size, providing a promising platform for the extension of contact imaging.
This research sought to employ a PS-b-PMMA 32nm natural period cylindrical
DSA system coupled with a model to attain an understanding of the process limitations of
this technology and how AR may be augmented in a thermodynamically/kinetically driven
contact patterning system. PV band simulations were executed on the graphoepitaxial GP
to assess system response to various contours in the formation of elliptical features. The
nominal case proves promising in demonstrating the ability to manipulate the AR of a
cylindrically forming BCP. For a pre-pattern with an AR up to 1.46, singular domain
formation is observed with an elliptical distribution with minor axis CDs on the order of
14nm.
Although AR augmentation is plausible as demonstrated, controlling the degree of
ellipticity for a given contour can prove exceedingly difficult. As little as a 0.5mJ dose
77
variation provides three distinct results for a given GP: a circular contact, an elliptical via
or multiple domain formations within the pre-pattern. In the case of contact multiplication
this type of error may be rectified if the domains are collocated in close proximity via etch,
realizing a high AR via bar.
Looking forward, means to mitigate the sensitivities of the process require further
investigation.
The degree of assembly perfection is largely a function of the
thermodynamic and kinetic elements of the DSA process. These aspects are significantly
influenced by boundary conditions, material composition and process conditions. It is
therefore important to qualify how the processes parameters, specifically anneal time and
temperature, influence through film integrity. Through this study a trade-off is expected to
be found between processing anneal time/temperature and size of process window increase
realized due to enhancement. This will be essential to examine as DSA positions itself for
high volume manufacturing insertion where a few extra seconds of anneal time could
potentially result in hundreds of thousands of dollars in lost daily income.
Two patterning technologies were reported as direct result of the research
presenting in this document that complement the current projection lithography and
semiconductor manufacturing infrastructure.
Both DSA and vortex lithography
demonstrate the capacity to resolve high resolution contact features at dimensions that
exceed the capability of current 193i single exposure systems while maintaining the ability
to manipulate AR. Although a significant amount of work remains prior to their integration
in a manufacturing environment, both technologies demonstrate extraordinary promise to
extend feature scaling to future technological generations.
78
Chapter 7. References
______________________________________________________
[1]
Suzuki, K., and Smith, B. Microlithography: Science and Technology. Boca
Raton: CRC, 2007.
[2]
Wong, A. Optical Imaging in Projection Microlithography. Bellingham, WA:
SPIE Optical Engineering, 2005.
[3]
Moore, G.E. "Cramming More Components Onto Integrated
Circuits." Proceedings of the IEEE 86.1 (1998).
[4]
Dennard, R.h., Gaensslen, F., Rideout V., Bassous, E. and Leblanc, A. "Design of
Ion-implanted MOSFET's with Very Small Physical Dimensions." IEEE Journal
of Solid-State Circuits 9.5 (1974): 256-68. Print.
[5]
Neuzil, P. et al. "From Chip-in-a-Lab to Lab-on-a-Chip: Towards a Single
Handheld Electronic System for Multiple Application-specific Lab-on-a-Chip
(ASLOC)." Royal Chemistry Society (2014). Web.
[6]
Eichenfield, M., Camacho, R., Chan. J., Vahala, K. and Painter, O. “A pictogram
and nanometer scale photonic crystal opto-mechanical cavity”. Nature (2009)
459:550-555. Print.
[7]
Granik Yuri. “Source optimization for image fidelity and throughput.” J.
Micro/Nanolith. MEMS MOEMS.
[8]
Kim, S.M. and Lim, C. "Phase shift mask." U.S. Patent No. 7,008,734. 7 Mar.
2006.
[9]
Jun Sungho et al. “Improvement of KrF contact layer by inverse lithography
technology with assist feature.” Proc. SPIE 7748, Photomask and NextGeneration Lithography Mask Technology XVII, 77481V (2010).
79
[10]
Cork Chris, Barnes Levi, Ping Yang, Li Xiaohai and Jang Stephen. “Optical
proximity correction challenges with highly elliptical contacts.” Proc. SPIE 7823,
Photomask Technology 2010, 78233R (September 24, 2010).
[11]
Smith, B. "Method of photolithography using a fluid and a system thereof." U.S.
Patent Application11/049,796.
[12]
Bakshi, V. EUV Sources for Lithography. Bellingham, WA: SPIE, 2006. Print.
[13]
Yan, P., Zhang, G., Ma, A. and Liang, T. “TaN EUVL mask fabrication and
characterization.” Proc. SPIE 4343, Emerging Lithographic Technologies V, 409
(August 20, 2001); doi:10.1117/12.436668.
[14]
Neumann, J., Gräupner, P., Kaiser, W., Garreis, R. and Geh, B. “Interactions of
3D mask effects and NA in EUV lithography”. Proc. SPIE 8522, Photomask
Technology 2012, 852211 (December 6, 2012).
[15]
Hector, S. “EUVL masks: requirements and potential solutions”. Proc. SPIE
4688, Emerging Lithographic Technologies VI, 134 (July 5, 2002);
doi:10.1117/12.472284.
[16]
Shiratani, M. et al. “Novel EUV resist materials for 16nm half pitch and EUV
resist defects. Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V,
90481D (April 17, 2014); doi:10.1117/12.2046133.
[17]
Anderson, C. et al. “The SEMATECH Berkeley MET: demonstration of 15-nm
half-pitch in chemically amplified EUV resist and sensitivity of EUV resists at
6.x-nm”. Proc. SPIE 8322, Extreme Ultraviolet (EUV) Lithography III, 832212
(March 29, 2012); doi:10.1117/12.917386.
80
[18]
Kim, H. et al. “CD uniformity improvement for EUV resists process: EUV
resolution enhancement layer”. Proc. SPIE 7969, Extreme Ultraviolet (EUV)
Lithography II, 796916 (April 06, 2011); doi:10.1117/12.879791.
[19]
Altissimo, M. "E-beam Lithography for Micro/nanofabrication”.
Biomicrofluidics 4.2 (2010). Web.
[20]
Vieu, C. et al. "Electron Beam Lithography: Resolution Limits and
Applications."Applied Surface Science 164.1-4 (2000): 111-17. Web.
[21]
Pfeiffer, H. “Direct write electron beam lithography: a historical overview”. Proc.
SPIE 7823, Photomask Technology 2010, 782316 (September 24, 2010);
doi:10.1117/12.868477.
[22]
Muraki, M. and Gotoh, S. New concept for high-throughput multielectron beam
direct write system. Journal of Vacuum Science & Technology B, 2000. 18(6): p.
3061-3066.
[23]
Pease, R.F. et al.. Prospects for charged particle lithography as a manufacturing
technology. Microelectronic Engineering, 2000. 53(1-4): p. 55-60.
[24]
Chang, T. H. P. "Proximity Effect in Electron-beam Lithography." Journal of
Vacuum Science and Technology 12.6 (1975): 1271-275. Web.
[25]
Bouchiat, V. and Esteve, D. "Lift-offlithography using an atomic force
microscope," Appl. Phys. Lett. 69, 3098-3100 (1996).
[26]
Sohn, L. and Willet, R. “Fabrication of nanostructures using atomic-forcemicroscope-base lithography.” Appl. Phys. Lett. 67, 1552-1554 (1995).
[27]
Baur, C. et al. "Nanopartic1e manipulation by mechanical pushing: Underlying
phenomena and real-time monitoring." Nanotechnology 9,360-364 (1998).
81
[28]
Beton, P., Dunn, A. and Moriarty, P. "Manipulation of C60 molecules on a Si
surface." Appl. Phys. Lett. 67,1075-1077 (1995).
[29]
Piner, R.D., et al., "Dip-pen" nanolithography. Science, 1999. 283(5402): p. 661663.
[30]
J. A. Stroscio and D. M. Eigler, "Atomic and molecular manipulation with the
scanning tunneling microscope," Science 254, 1319-1326 (1991).
[31]
Bouchiat, V. “Lithography Techniques Using Local-Probe Microscopy”. Neel
Institute. CNRS-Genoble.
[32]
Salaita, K., et al., Massively parallel dip-pen nanolithography with 55000-pen
two-dimensional arrays. Angewandte Chemie-International Edition, 2006.
45(43): p.7220-7223.
[33]
Soh, Hyongsok T., Kathryn Wilder. Guarini, and Calvin F. Quate. Scanning
Probe Lithography. Boston: Kluwer Academic, 2001. Print.
[34]
Pelton, M. and Bryant, G. Introduction to Metal-nanoparticle Plasmonics. Print.
[35]
Xiong, Y. Optical Subdiffraction-Limited Imaging and Lithography Utilizing
Metamaterials or Surface Plasmons. Diss. U of California, Berkeley, 2009. Ann
Arbor: ProQuest LLC, 2009. Print.
[36]
Srituravanich, W.; Fang, N.; Sun, C.; Luo, Q.; Zhang, X. “Plasmonic
nanolithography,” Nano Lett. 4, 1085 (2004).
[37]
Srituravanich, W.; Durant, S.; Lee, H..; Sun, C.; Zhang, X. “Deep subwavelength
nanolithography using localized surface plasmon modes on planar silver mask,” J.
Vac. Sci. Technogy. (2005).
82
[38]
Estroff, A. Plasmonic Approaches to DUV Nanolithography. Diss. RIT. Ann
Arbor: ProQuest LLC, 2014. Print.
[39]
Pan, Liang. High-Throughput Plasmonic Nanolithography. Diss. U of California,
Berkeley, 2010. Ann Arbor: ProQuest LLC, 2010. Print.
[40]
J. B. Pendry, "Negative refraction makes a perfect lens," Phys. Rev. Lett. 85.
(2000).
[41]
R. J. Blaikie and S. J. McNab, "Simulation study of'perfect lenses' for nearfield
optical nanolithography," Microelectron. Eng. 61-62, 97-103 (2002).
[42]
Pan, L. et al. "Maskless Plasmonic Lithography at 22 nm Resolution." Scientific
Reports 175.1 (2011): 1-6.
[43]
"2013 ITRS Roadmap." 2013 ITRS Edition. ITRS. Web.
[44]
Mack, C. Fundamental Principles of Optical Lithography: The Science of
Microfabrication. Chichester, West Sussex, England: Wiley, 2007. Print.
[45]
Kim, H. et al. Patterning for Elliptical Vss Contact on Flash Memory. Advanced
Micro Devices, Inc. (Sunnyvale, CA), assignee. Patent United States Patent
6,900,124. 31 May 2005. Print.
[46]
Goda, A. et al. Semiconductor Device. Kabushiki Kaisha Toshiba (Kawasaki, JP),
assignee. Patent United States Patent 6,611,010. 26 Aug. 2003. Print.
[47]
Suzuki, K. and Smith, B. Microlithography: Science and Technology. Boca Raton:
CRC, 2007. Print.
[48]
Mack, C. A. Fundamental Principles of Optical Lithography: The Science of
Microfabrication. Chichester, West Sussex, England: Wiley, 2007. Print.
83
[49]
Eom, T. et al. "Comparative Study of DRAM Cell Patterning between ArF
Immersion and EUV Lithography." SPIE Alternative Lithographic Technologies
7271 (2009): 727115-1-27115-10. Print.
[50]
Eom, T. et al. "Comparative Study of Binary Intensity Mask and Attenuated
Phase Shift Mask Using Hyper-NA Immersion Lithography for Sub-45nm
Era." SPI Optical Microlithography XXI 6924 (2008): 69240H-1-9240H-10. Print.
[51]
Kang Hoyoung, H., Van Schoot, S. and Van Ingen Schenau, J. “ EUV simulation
extension study for mask shadowing effect and its correction.” Proc. SPIE 6921,
Emerging Lithographic Technologies XII, 69213I (March 21, 2008);
doi:10.1117/12.772487.
[52]
Kim, E. et al. “22 nm node contact hole formation in extreme ultra-violet
lithography.” Proc. SPIE 6922, Metrology, Inspection, and Process Control for
Microlithography XXII, 69223X (March 25, 2008); doi:10.1117/12.780242.
[53]
DellaGuardia, R. et al. "BEOL Lithography For Early Development At The 65nm
Node."Optical Microlithography XVII 5377 (2004): 980-87. Print.
[54]
Tenalgia, E., Ronsmans, J., De Simone, D., Kimura, T. and Cotti, G. "ArF
Photoresist Formulation Influence on Elliptical Contact Shape When Using
Dipole Illumination."SPIE Advances in Resist Materials and Processing
Technology XXV 6923 (2008): 692328-1-92328-8. Print.
84
[55]
Petrillo, K. et al. "Utilization of Spin-on and Reactive Ion Etch Critical
Dimension Shrink with Double Patterning for 32nm and Beyond Contact Level
Interconnects." SPIE Advances in Resist Materials and Processing Technology
XXVI 7273 (2009): 72731A-1-2731A-7. Print.
[56]
Petrillo, K. et al. "Controlling 2-D Aspect Ratio of Elliptical Contact Level
Interconnects Utilizing Spin-on and Reactive Ion Etch Critical Dimension Shrink
for the 22-nm Node." SPIE Advances in Resist Materials and Processing
Technology XXVII7639 (2010): 76392Z-1-6392Z-7. Print.
[57]
Wong, A. Resolution Enhancement Techniques in Optical Lithography.
Bellingham, WA: SPIE, 2001. Print.
[58]
Shin, H. et al. “Resolution enhancement techniques for contact hole printing of
sub-50nm memory device.” Proc. SPIE 7140, Lithography Asia 2008, 714034
(December 04, 2008); doi:10.1117/12.804646.
[59]
Jun, S. et al. “Improvement of KrF contact layer by inverse lithography
technology with assist feature.” Proc. SPIE 7748, Photomask and NextGeneration Lithography Mask Technology XVII, 77481V (May 26, 2010);
doi:10.1117/12.868563.
[60]
Van Den Broeke, D. et al. "Contact and Via Hole Mask Design Optimization for
65nm Technology Node." SPIE 24th Annual BACUS Symposium on Photomask
Technology 5567 (2004): 680-90. Print.
85
[61]
Cork, C., Levi, B., Yang, P., Xiaohai, L. and Stephen, J. “Optical proximity
correction challenges with highly elliptical contacts.” Proc. SPIE 7823,
Photomask Technology 2010, 78233R (September 24, 2010);
doi:10.1117/12.867247.
[62]
Granik, Y. “Source optimization for image fidelity and throughput.” J.
Micro/Nanolith. MEMS MOEMS. 0001;3(4):509-522.
[63]
Hansen, SG. Source mask polarization optimization. J. Micro/Nanolith. MEMS
MOEMS. 0001;10(3):033003-033003-9. doi:10.1117/1.3607424.
[64]
Guo, X. and Yanqiu, L. “Impact of non-uniform polarized illumination on hyper
NA Lithography”. Proc. SPIE 8326, Optical Microlithography XXV, 832623
(February 21, 2012); doi:10.1117/12.916307.
[65]
Kim, H., Jeong-Hoon, L., Shin, J., Yong-Kug, B., Siyoung, C., and Ho-Kyu, K.
“A study of source mask optimization for logic device through experiment and
simulations.” Proc. SPIE 7973, Optical Microlithography XXIV, 79731E
(April 05, 2011); doi:10.1117/12.879429.
[66]
Tolani, V. "Source-Mask Co-Optimization (SMO) Using Level Set
Methods." SPIE Photomask Technology 2009 7488 (2009): 74880Y-1-4880Y-11.
Print.
[67]
Lai, K. et al. “Experimental result and simulation analysis for the use of pixelated
illumination from source mask optimization for 22nm logic lithography process.”
Proc. SPIE 7274, Optical Microlithography XXII, 72740A (March 16, 2009);
doi:10.1117/12.814680.
86
[68]
Canales, V., and Cagigal, M. "Pupil Filter Design by Using a Bessel Functions
Basis at the Image Plane." Optics Express 14.22 (2006): 10393-0402. Print.
[69]
Sears, M., Germaine, F., Mailfert, J. and Smith, B. “Extending SMO into the lens
pupil domain.” Proc. SPIE 7973, Optical Microlithography XXIV, 79731B
(March 22, 2011); doi:10.1117/12.879058
[70]
Liang, F., Chou, S., Lou, J., et al. Customized illumination aperture filter design
for through-pitch focus latitude enhancement of deep submicron contact hole
printing. J. Micro/Nanolith. MEMS MOEMS. 0001;1(3):296-306.
[71]
Kang, H. and Smith, B. ‘Fabrication of small contact using practical pupil
filtering.’ Proc. SPIE 4000, Optical Microlithography XIII, 1086 (July 5, 2000);
doi:10.1117/12.388944.
[72]
"Vortex." Merriam-Webster.com. Merriam-Webster, n.d. Web. 18 Oct. 2013.
<http://www.merriam-webster.com/dictionary/vortex>.
[73]
Fetter, A.L. ‘Vortices in an Imperfect Bose Gase. I. The Condensate.,’ Phys. Rev.
138, A429-A437, 1965.
[74]
Lugt, H.J. ‘Vortex Flow in Nature and Technology’. Wiley & Sons, New York,
1983.
[75]
Marcus, P., Pei, S., Jiang, C. and Hassanzadeh, P. 'Three-Dimensional Vortices
Generated by Self-Replication in Stably Stratified Rotating Shear Flows', Phys.
Rev. Lett. 111, 084501 August 2013 DOI: 10.1103/PhysRevLett.111.084501
[76]
Ramo, S., Whinnery, J. and Van Duzer, T. Fields and Waves in Communication
Electronics, (Wiley, New York, NY, 1965).
87
[77]
Swartzlander, G., Drugan, D., Hallak, N., Freeman, M. and Law, C. ‘Optical
transistor effect using an optical vortex soliton,’ Laser Physics 5, 704-709, 1995.
[78]
DellaGuardia, R. et al. "BEOL Lithography For Early Development At The 65nm
Node."Optical Microlithography XVII 5377 (2004): 980-87. Print.
[79]
Zhang, Y. Quantum Control of Multi-wave Mixing. [S.l.]: Wiley-Vch Verlag
Gmbh, 2013. Print.
[80]
Simpson, N., Allen, L. and Padgett, M. “Optical tweezers and optical spanners
with Laguerre-Gaussian modes,” J. Mod. Opt. 43, 2485-2491, 1996.
[81]
Rozas, D. Generation and Propagation of Optical Vortices. Diss. Worcester
Polytechnic Institute, 1999. Ann Arbor: ProQuest, 1999. Print.
[82]
Levenson, M., Ebihara, T., Dai, G., Morikawa, Y., Tan, S. and Hayashi N. Optical
vortex masks for via levels. J. Micro/Nanolith. MEMS MOEMS. 0001;3(2):293304
[83]
Palacios, D. An Optical Vortex Coherence Filter. Diss. Worcester Polytechnic
Institute, 2004. Print.
[84]
Levenson, M., Tan, S., Dai, G., Morikawa, Y., Hayashi, N. and Ebihara, T. “The
Vortex Via Process: Analysis and Mask Fabrication for Contact CDs < 80nm”
Proc. SPIE 5040, Optical Microlithography XVI, 344 (June 25, 2003);
doi:10.1117/12.485418.
[85]
Fritze, M., Astolfi, D., Yost, D., Wyatt, P. and Liu, H. "Chromeless phase-shift
masks used for sub-l00nm SOT CMOS transistors," Solid State Technol. 43.7, 1
16-13 1 (July, 2001).
88
[86]
Levenson, M., Dai, G. and Ebihara, T. “Vortex Mask: Making 80nm contacts
with a twist!”. Proc. SPIE 4889, 22nd Annual BACUS Symposium on Photomask
Technology, 1293 (December 24, 2002); doi:10.1117/12.467406.
[87]
Unno, Y., Ebihara, T. and Levenson, M. Impact of mask errors and lens
aberrations on the image formation by a vortex mask. J. Micro/Nanolith. MEMS
MOEMS. 0001;4(2):023006-023006-11
[88]
Levenson, M., Ebihara, T., Morikawa Y. and Hayashi , N. “Vortex via
validation.” Proc. SPIE 5256, 23rd Annual BACUS Symposium on Photomask
Technology, 93 (December 15, 2003); doi:10.1117/12.517872.
[89]
KLA Tencor PROLITH Lithography Simulation Tool – Computational
Lithography and Photolithography Simulation Available: http://www.klatencor.com/lithography-modeling/chip-prolith.html
[90]
Eom, T. et al. "Comparative Study of Binary Intensity Mask and Attenuated
Phase Shift Mask Using Hyper-NA Immersion Lithography for Sub-45nm
Era." SPI Optical Microlithography XXI 6924 (2008): 69240H-1-9240H-10. Print.
[91]
Harrison, C. et al. "Dynamics of Pattern Coarsening in a Two-dimensional Smectic
System." The American Physical Society, Vol. 66 (2002).
[92]
Leibler, L. “Theory of Microphase Separation in Block Copolymers”.
Macromolecules. 1980 (13) 6, 1602-1617.
[93]
Black, C., and Ruiz, R. "Self Assembly in Semiconductor Microelectronics: Selfaligned
Sub-lithographic
Patterning
Using
Films." Proceedings of SPIE, Vol. 6153 (2006).
89
Diblock
Copolymer
Thin
[94]
Liu, C. Directed Self-Assembly of Block Copolymers with Density Multiplication
and Its Integration with Lithographic Processes. Diss. University of WisconsinMadison, 2011. Ann Arbor: ProQuest LLC, 2011. Print.
[95]
Russell, T., Coulon, P., Deline, V. and Miller, D. "Characteristics of the Surfaceinduced Orientation for Symmetric Diblock PS/PMMA
Copolymers." Macromolecules 22.12 (1989): 4600-606. Web.
[96]
Liu, Chi-Chun et al. "Chemical Patterns for Directed Self-Assembly of LamellaeForming Block Copolymers with Density Multiplication of
Features." Macromolecules, Vol. 46 (2013).
[97]
Rathsack, B. et al. "Advances in Directed Self Assembly Integration and
Manufacturability at 300 mm." Proceeding of SPIE, Vol. 8682 (2013).
[98]
Tiron, R. et al. "The Potential of Block Copolymer's Directed Self-Assembly for
Contact Hole Shrink and Contact Multiplication." SPIE Alternative Lithographic
Technologies V 8680.868012 (2013): 868012-1-68012-11. SPIE. Web.
[99]
Seino, Y. et al. "Contact Hole Shrink Process Using Graphoepitaxial Directed
Self-Assembly Lithography." Journal of Micro/Nanolithography, MEMS and
MOEMS12.July-Sep (2013): 033011-1-33011-6. SPIE.
[100] Nakano, T. et. al., “Dissipative particle dynamics study on directed self-assembly
in holes,” SPIE 8680-38, (2013).
[101] Chevalier, X. et al. "Scaling-down Lithographic Dimensions with Blockcopolymer Materials: 10-nm-sized Features with Poly(styrene)-block-poly(
Methylmethacrylate)." Journal of Micro/Nanolithography, MEMS and
MOEMSJul-Sep.2013 (2013): 031102-1-31102-9. Print.
90
[102] Pimenta Barros, P. et al. “Etch challenges for DSA implementation in CMOS via
patterning”. Proc. SPIE 9054, Advanced Etch Technology for Nanopatterning III,
90540G (March 28, 2014); doi:10.1117/12.2046267.
[103] Tiron, R. et al.. "Optimization of Block Copolymer Self-assembly through
Graphoepitaxy: A Defectivity Study." Journal of Vacuum Science and
Technology B, Vol. 29 (2011).
[104] Bencher, C. et al. "Self-Assembly Patterning for Sub-15nm Half-Pitch: A
Transition from Lab to Fab." Proceedings of SPIE, Vol. 7970 (2011).
[105] Liu, C. et al. "Practical Implementation of Order Parameter Calculation for
Directed Assembly of Block Copolymer Thin Films." Journal of Polymer
Science, Vol. 48 (2010).
[106] Laachi, N. et al. "The Hole Shrink Problem: Theoretical Studies of Directed SelfAssembly in Cylindrical Confinement." SPIE Alternative Lithographic
Technologies V 8680.86801 (2013): 868014-1-68014-9. Print.
[107] Hammond, M, Sides, S., Fredrickson, G., Kramer, E., Ruokolainen, J. and Hahn,
S. "Adjustment of Block Copolymer Nanodomain Sizes at Lattice Defect
Sites." Macromolecules 36.23 (2003): 8712-716. Print.
[108] Aissou, K., Baron, T., Kogelschatz, M. and Pascale, A. "Phase Behavior in Thin
Films of Cylinder-Forming Diblock Copolymer: Deformation and Division of
Heptacoordinated Microdomains." Macromolecules 40.14 (2007): 5054-059.
Print.
[109] Bencher, C. et al. "Directed Self-Assembly Defectivity Assessment (Part
II)." Proceedings of SPIE, Vol. 8323 (2012).
91
[110] Cao, Yi et al. "Using Process Monitor Wafers to Understand Directed SelfAssembly Defects."Proceedings of SPIE, Vol. 8680 (2013).
[111]
Liu, C. et al., "Progress towards the integration of optical proximity correction
and directed self-assembly of block copolymers with graphoepitaxy", Proceedings
of SPIE Vol. 8323, 83230X (2012).
[112] Detcheverry, F., Pike, D., Nealey, P., Muller, M. and de Pablo, J. "Monte Carlo
Simulation of Coarse Grain Polymeric Systems," Physical Review Letters, vol.
102, May 2009.
[113] Fredrickson, G. The equilibrium theory of inhomogeneous polymers: Clarendon
Press, 2006.
[114] Matsen M. and Bates, F. "Unifying weak- and strong-segregation block
copolymer theories" Macromolecules, vol. 29, pp. 1091-1098, Feb 1996.
[115] Lai, K. et al., "Computational aspects of optical lithography extension by directed
self-assembly", Proceedings of SPIE Vol. 8683, 868304 (2013).
[116] Lai, K. et al. “Computational lithography platform for 193i-guided directed selfassembly”. Proc. SPIE 9052, Optical Microlithography XXVII, 90521A (March
31, 2014). doi:10.1117/12.2046920.
92