EtherCAT Technology

Transcription

EtherCAT Technology
EtherCAT Technology
목차

Introduction

Technology overview

EtherCAT specification




Physical layer
Datalink layer
Application layer
Implementation
Introduction

Industrial Ethernet 기술의 도입배경


Industrial networks based on popular serial fieldbus

CAN/CANopen

DeviceNet/ControlNet

LonWorks

Modbus/RUT

PROFIBUS

SERCOS I/II
Increasing complexity of automation system

Data rate

Interoperation

Cost

Flexibility
Introduction

Ethernet based fieldbus 기술의 발전

CAN/CANopen

EtherCAT/Ethernet Powerlink

DeviceNet/ControlNet

EtherNet/IP

LonWorks

Lon over Ethernet

Modbus/RUT

Modbus/TCP

PROFIBUS

PROFINET

SERCOS I/II

SERCOS III
Introduction

History


2003년 하노버 박람회에서 일반공개 (2003년 11월 ETG 결성)



2005년 10월 삼성전자 생산기술연구소에서 slave 개발완료
2004년 6월
EtherCAT master sample code, slave development kit 공급
2007년 11월 독일에서 48개 회사 90여종의 EtherCAT 제품 전시
2007년 2월 국내 15개사 ETG 가입
Introduction

Fieldbus 선정 조건


End User

성능향상

원가절감

사용의 편리성

호환성 확보
Application Engineer


Application 개발 지원 (다양한 개발 방법)
Developer

국제 규격

개발 도구 및 관련 제품을 제공
Introduction

High Performance



256 Digital I/O in 11 micro second

1000 Digital I/O distributed to 100 nodes in 30 micro second

200 analog I/O (16bit) in 50 micro second, 20khz sampling rate

100 Servo Axis (each 6 Byte IN+OUT) in 1000 micro second

12000 digital I/O in 350 micro second
Low cost


100Mbaud (Full-Duplex) Mode에서 EtherCAT의 Update Times
General NIC(Network Interface Cards)
Easy installation

UTP cable + general PC + general OS
Technology overview

Operating principle



EtherCAT segment is a single Ethernet device
ISO/IEC 8802-3 Ethernet frame
Telegram processing principles
Technology overview

Node reference model (slave)
Application
AL stack
ESC Area
( DL + LM )
PL
Technology overview

Node reference model (Master)
Physical layer

EtherCAT modes

Open mode

Direct mode
Physical layer (MAC)

Event-triggered architecture VS Time-triggered architecture
Tw contention window
node #1
node #1
medium
node #2
node #2
Time deterministic !!!
medium
node #1
node #2
………
Scheduling !!!
node #3
node #4
Physical layer (MAC)

Cabling

Connect between EtherCAT master (PC) and EtherCAT slave (node)


Up to 100Mbps
Connect between EtherCAT slave (node) and EtherCAT slave (node)

Up to 3Gbps for synchronization
Device 1
Controller A
Safety
Protocol
Controller A
Safety
Protocol
Device 2
Controller B
Safety
Protocol
Controller B
Safety
Protocol
Controller B
Safety
Protocol
Controller A
Safety
Protocol
EtherCAT
Slave Controller
EtherCAT
Slave Controller
PHY
PHY
Magnetics Magnetics
RJ45
RJ45
PHY
PHY
Magnetics Magnetics
RJ45
RJ45
Controller A
Safety
Protocol
Controller B
Safety
Protocol
Physical layer

Reaction time with legacy fieldbus I/O
I
PLC Task
O
I
PLC Task
O
I
PLC Task
O
I
PLC Task
O
I
PLC Task
Tmpd
Bus Cycle
TI/O
TI/O
Bus Cycle
TI/O
TI/O
Bus Cycle
TI/O
TI/O
Bus Cycle
TI/O
TI/O
Bus Cycle
TI/O
TI/O
TI/O
Bus Cycle
TI/O
TI/O
Bus Cycle
TI/O
TI/O
best case reaction time
worst case reaction time
Input
Input
(worst case)
(best case)
Output
Tmpd: Master Processing Delay
TI/O: Local I/O Update Time
(local Extension Bus + Firmware)
Physical layer

Reaction time with EtherCAT
I
PLC Task
O
I
PLC Task
O
I
O
I
best case
worst case
EtherCAT
Bus Cycle
PLC Task
Input
Input
(worst case)
(best case)
Output
Car
27
PLC Task
O
I
PLC Task
Physical layer

Synchronization


Long Term Scope View of two separated devices
300 Nodes in between, 120m Cable Length
Simultaneity: ~15 ns
Jitter: ~ +/-20ns
Physical layer

Bus topology
EtherCAT Master
RX Unit
TX Unit
RX
TX
MAC 1
RX
Slave 1
RX
Slave 2
TX
RX
TX
TX
RX
TX
...
TX
RX
Slave N
Slave N-1
RX
TX
TX
RX
...
TX
RX
TX
RX
Physical layer

Bus topology
EtherCAT Master
RX Unit
TX Unit
RX
TX
MAC 1
MAC 2
RX
TX
RX
TX



Slave 1
Slave 2
Slave N
RX
TX
RX
TX
...
TX
RX
RX
TX
TX
RX
...
TX
RX
Datalink layer

Frame structure

EtherCAT Telegrams embedded in Ethernet Frame

EtherCAT Telegrams embedded in UDP/IP
Datalink layer

EtherCAT telegram structure

EtherCAT Telegrams embedded in Ethernet Frame

Auto Increment Physical Read (APRD)

Node-Addressed Physical Read (NPRD)

Loginal Read (LRD)

Broadcast Read (BRD)

Auto Increment (APWR)

Node-Addressed Physical Write (NPWR)

Logical Write (LWR)

Broadcast Write (BWR)

Logical ReadWrite (LRW)

Auto Increment Physical Read Multiple Write (ARMW)
Datalink layer

EtherCAT Header - Broadcast Read (BRD)
Datalink layer

Addressing


Physical addressing

Device address에 의한 Addressing 방식

64kbyte의 Local address 공간을 가짐

Broadcast actions

EtherCAT 통신을 통한 Device 초기화 시 사용됨

Mailbox 통신
Logical addressing

Logical address 기반으로 하는 Addressing 방식

EtherCAT master는 4GByte address 공간을 가짐

Process data의 처리를 위한 강력한 메커니즘

FMMU에 의해 어드레스 매핑이 이루어짐

Process data 통신모드
Datalink layer

Addressing
IPC
I/O Terminal
Ethernet HDR FH EH
Data1
WKCEH
Drives
Drives
Data1
WKCEH
Drives
I/O Terminal
Data1
WKCEH
Data1
WKCEH
Data1
WKC FCS
 Address :
1
2
3
4
5
Position addressing
 Address :
2
6
4
10
7
Node addressing
IPC
Drives
I/O Terminal
Drives
Drives
Drives
I/O Terminal
Drives
Drives
4GByte
Ethernet HDR
FH
EH
Data (Single EtherCAT telegram)
WKC
FCS
Application layer

EtherCAT state machine and service




Init (no direct communication)
Pre-operation (EtherCAT mailbox(setting, parameter) is active)
Safe-operation (slave shall deliver actual input data)
Operation
Application layer

CANopen PDO 전송기술 + EtherCAT Sync manager 기술 = COE
CANopen PDO mapping
EtherCAT Sync manager PDO assignment
Digital input data A
Analog input data B
A
B
C
PDO1
Digital out data C
D
E
F
PDO2
A
Process data
PDO
B
C
D
E
F
PDO1
PDO2
Sync manger channel object
Application layer

Process data 정보 기술


‘Entry’ element를 통하여 기술
CANopen device profile 을 적용
Object dictionary
Index(hex)
OD Area
0x0000~0x0FFF
Data type area
0x1000~0x1FFF
COE Communication area
0x2000~0x5FFF
Manufacturer specific area
0x6000~0x6FFF
Slave input area
0x7000~0x7FFF
Slave output area
0x8000~0x8FFF
Slave configuration area
0x9000~0x9FFF
Slave information area
0xA000~0xAFFF
Slave diagnostic area
0xB000~0xBFFF
Slave acyclic interface area
0xC000~0xEFFF
Reserved
0xF000~0xFFFF
Master area
Implementation

EtherCAT slave



EtherCAT Slave Controller : BeckHoff ET1100
Main controller : MicroChip PIC18F452
EtherCAT connect : RJ45 UTP category 5
Implementation

EtherCAT master



MFC application that implements an EtherCAT master
NetGroup Packet Filter Driver(NPF) is used by network traffic
analyzers like ethereal
ecatfilter.sys is an intermiate NDIS driver, that blocks all ethernet
frames except EtherCAT frame
Implementation

EtherCAT master filter with NDIS driver
Implementation

EtherCAT master configuration
Implementation

EtherCAT XML 처리 구조



Device description
Network description
Process image description
Device
Description
(XML)
System
Configuration + Make
Tool
+ Slave device inform
Process Image
Description
(XML)
+ Make
+ Process data inform
Network
Description
(XML)
Control
Task
+ EtherCAT inform
(Init command etc.)
Standard
Ethernet MAC
EtherCAT
data
EtherCAT
Master
Process data

Similar documents