Coolrunner II CPLD Kit

Transcription

Coolrunner II CPLD Kit
CPLD/FPGA BOARDS
Coolrunner II CPLD Kit
Join the Technical Community Today!
http://www.pantechsolutions.net
Introduction
The board features:
Xilinx CoolRunner-II II CPLD in a 144-Thin quad flat package
(XC2C256-7TQG144C)
Optimized for 1.8V systems, Industry’s fastest low power
CPLD
Densities from 32 to 512 macro cells
Multi-voltage I/O operation — 1.5V to 3.3V
Fastest in system programming
1.8V ISP using IEEE 1532 (JTAG) interface
Multiple I/O banks on all devices
3-bit, 8-color VGA display port
9-pin RS-232 Serial Port
DB9 9-pin male connector (DTE connector)
PS/2-style mouse/keyboard port
Two-character, seven-segment LED display
Eight Slide switches
Eight individual LED outputs
4 momentary-contact push button switches
Two 50 MHz crystal oscillator clock source
pin expansion connection ports to extend and enhance the
CoolRunner-II development Board
Join the Technical Community Today!
http://www.pantechsolutions.net
JTAG port for low-cost download cable
Technical or Customer Support
Post your questions:
Pantech forum:
www.pantechsolution.net/forum
Website :
www.pantechsolution.net
1. Using CoolRunner-II CPLDKit
Package Contents
 Xilinx CoolRunner-II CPLD Kit
 Serial Port Cable (DTE)
 JTAG Download Cable
 Printed User Manual
 5V Power AC Adaptor
 LCD module
 CD contains
Software
Example Programs
User Manual
Join the Technical Community Today!
http://www.pantechsolutions.net
Simple Projects
2. Learning Xilinx CPLD and ISE Development Software
Basics
The CoolRunner-II Board is more advanced and simple compared
to other development boards. To learn the basics of Xilinx CPLD design
and how to use the Xilinx ISE development software, consider using the
Starter Kit Bundle, which contains CoolRunner-II CPLD development
board at a very affordable price.
The Xilinx CoolRunner-II Board provides a low-cost, easy-to-use
development and evaluation platform for CoolRunner-II CPLD designs.
Components placement
Figure 1. Xilinx CoolRunner Board Components placement
Join the Technical Community Today!
http://www.pantechsolutions.net
Block Diagram
9V Input
+3.3V/1.8V/1.2V
SPI based DAC
JTAG Port
2 UART
PORT
SPI based ADC
RELAY
4 Push Button
8 Nos. LED
Digital Outputs
12-pin I/O
connector
8 Slide Switch
Digital Inputs
2x16 Char LCD
Buzzer
XC2C256
50MHz Clock
generator
Stepper Motor
VGA
PS/2
Join the Technical Community Today!
http://www.pantechsolutions.net
On-board Peripherals
The Cool Runner CPLD Lab Kit comes with many interfacing options
2 Nos. of Seven-segment display
8-Nos. of Toggle switches (Digital Inputs)
8-Nos. of Point LED’s (Digital Outputs)
2x16 Character GLCD
4 Push Button
Relay / Stepper Motor driver circuit
Digital to Analog Converter
Two UART for serial port communication through PC
PS/2 keyboard Interface
Analog / Digital Converter
3-Bit VGA Interface
Piezo Electric Buzzer
Seven Segment Display
The CoolRunner-II
Board has Two-character,
seven
segment LED display controlled by CPLD user-I/O pins, as shown
in Figure 3. Each digit shares eight common control signals to
light individual LED segments. Each individual character has a
separate anode control input. The pin number for each CPLD pin
connected to the LED display is in table 1. To light an individual
signal, drive the individual segment control signal high along with
the associated anode control signal for the individual character.
Join the Technical Community Today!
http://www.pantechsolutions.net
The segment control inputs, A through G and DP, drive the individual
segments that comprise the character. A Low value lights the
individual segment, a High turns off the segment. A Low on the A
input signal, lights segment ‘a’ of the display. The anode controls for
the remaining characters, AN[2:1] are all High, and these characters
ignore the values presented on A through G and DP.
Figure 3. Seven-segment display connections from CoolRunner-II CPLD Lab Kit
Table 1. Seven-segment display connections to the CPLD pins
Segmen t CPLD PIN
A
P110
B
P112
C
P114
D
P117
E
P115
F
P111
G
P113
DP
P116
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 2.Digit Enable (Anode Control) Signals (Active Low)
A n ode Co ntrol
C P LD P IN
AN0
P118
AN1
P119
The LED control signals are time-multiplexed to display data on all
two characters, as shown in Figure 4. Present the value to be displayed on
the segment control inputs and select the specified character by driving
the associated anode control signal Low. Through persistence of vision,
the human brain perceives that all four characters appear simultaneously,
similar to the way the brain perceives a TV display.
This “scanning”
technique reduces the number of I/O pins
required for the four characters. In case an CPLD
pin were dedicated
for each individual segment, then 32 pins are required to drive two 7segment LED characters. The scanning technique reduces the required I/O
down to
12 pins. The drawback to this approach is that the CPLD logic
must continuously scan data out to the displays—a small price to save 20
additional I/O pins.
Figure 4. Drive Anode Input Low to Light an Individual Character
Table 2. Display Characters and Resulting LED Segment Control Values
Join the Technical Community Today!
http://www.pantechsolutions.net
Character a
b
c
d
e
f
g
1
2
3
4
5
6
7
8
9
A
B
C
D
E
F
0
0
0
0
1
1
0
0
0
0
1
1
0
1
1
0
1
0
0
0
0
0
0
0
0
0
1
0
1
1
1
0
0
1
0
0
1
0
0
1
0
0
0
0
1
1
0
1
1
1
0
1
0
1
0
0
0
0
0
0
1
1
1
0
0
0
1
0
0
0
0
0
1
0
0
1
0
0
0
0
0
1
0
0
0
0
1
0
0
0
1
0
0
1
0
0
0
0
0
0
1
0
1
0
0
Example Code
To see the demo result, click
inside Seven Segment folder of the CD.
Digital Inputs Toggle Switch
The CoolRunner-II CPLD Lab Kit has eight slide switches, indicated as
in Figure 5.The switches connect to an associated CPLD pin,as shown in
Table 3.A detailed schematic appears in Figure 5.
Figure 5. Slide switches connections from CoolRunner CPLD Lab Kit
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 3.CPLD Connections to Slide Switches
Sw itch
0(SW5)
1(SW6)
2(SW7)
3(SW8)
4(SW9)
5(SW10)
6(SW11)
7(SW12)
CPLD
pin
P22
P23
P24
P25
P26
P28
P30
P31
When in the UP or ON position, a switch connects the CPLD pin to
VCCO, a logic High. When DOWN or in the OFF position, the switch
connects the CPLD pin to ground, a logic Low. The switches typically
exhibit about 2 ms of mechanical bounce and there is no active
debouncing circuitry, although such circuitry could easily be added to the
CPLD design programmed on the board. A 10KΩ series resistor provides
nominal input protection.
Example Code
To see the demo result, click
inside Digital Input Switch folder of
the CD.
Light Emitting Diodes
Light Emitting Diodes (LEDs) are the most commonly used
components, usually for displaying pin’s digital states. The CoolRunner-II
CPLD Kit has eight LEDs located above the push button switches, indicated
by in Figure 6.
Figure 6. Point LED interface from CoolRunner CPLD Lab Kit
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 4.CPLD connections to the LEDs
LED
0
1
2
3
4
5
6
7
CPLD
pin
P99
P100
P102
P103
P104
P105
P107
P108
The cathode of each LED connects to ground via a 220 ohm Ω
resistor. To light an individual LED, drive the associated CPLD control
signal High, which is the opposite polarity from lighting one of the 7segment LEDs.
Example Code
To see the demo result, click
inside LED folder of the CD.
2x16 LCD
The CoolRunner-II Development Board prominently features a 2-line
by 16-character liquid crystal display (LCD). The CPLD controls the LCD via
the 8-bit data interface shown in Figure 7-1. Although the LCD supports an 8bit data interface, the Development board uses a 4-bit data interface to
remain compatible with other Xilinx development boards and to minimize
total pin count.
Voltage Compatibility
The character LCD is power by +5V. The CPLD I/O signals are powered
by 3.3V. However, the CPLD’s output levels are recognized as valid Low or
High logic levels by the LCD. The LCD controller accepts 5V TTL signal levels
Join the Technical Community Today!
http://www.pantechsolutions.net
and the 3.3V LVCMOS outputs provided by the CPLD meet the 5V TTL voltage
level requirements.. The character LCD drives the data lines when LCD_RW is
High. Most applications treat the LCD as a write only peripheral and never
read from from the display.
Table 5.LCD Connection to CoolRunner-II CPLD
Sig nal
C P LD P I
N
R /W
P106
RS
P107
E
P105
D0
P104
D1
P103
D2
P101
D3
P102
D4
P100
D5
P98
D6
P97
D7
P96
Figure 7. LCD connections from CoolRunner CPLD Lab Kit
Example Code
To see the demo result, click
inside GLCD folder of the CD.
Join the Technical Community Today!
http://www.pantechsolutions.net
Push Button
The CoolRunner-II II Development Board has 4 momentary-contact push
button switches, indicated as in Figure 9.
Figure 9.Keyboard interface from CoolRunner CPLD Lab Kit
Table 7. CPLD Connections to Push Button
S wit ch
CPLD pin
Sw1
P77
Sw2
P76
Sw3
P75
Sw4
P74
Example Code
To see the demo result, click
inside Keypad folder of the CD.
Motor / Driver Section
The ULN2803A is a high-voltage, high-current Darlington transistor
array. The device consists of eight npn Darlington pairs that feature highvoltage outputs with common-cathode clamp diodes for switching inductive
loads. The collector-current rating of each Darlington pair is 500 mA. The
Darlington pairs may be connected in Parallel for higher current capability.
ULN2803 is used as a driver for port I/O lines. It is also used with source which
drives more than 50mA current.
Join the Technical Community Today!
http://www.pantechsolutions.net
Figure 8.Stepper motor drivers and relay interface with CoolRunner-II CPLD
Table 8.CPLD Connections to Motor/Driver
Sig nal s
C P LD P IN
S te ppe r Mo to r C on t ro l A
P78
S te pp e r Mo to r C o n t ro l B
P79
S te ppe r Mo to r C on t ro l C
P80
S te ppe r Mo to r C on t ro l D
P81
Relay Section
In CPLD Lab Kit, SPDT relays are used. Relay operates on 5V DC. The
outputs of the terminals of the relay are taken out on the connecter to
connect the external circuitry. The relay can be connected to the CPLD
through the selected DIP Switch.
Table 9.Relay Interface with CoolRunner CPLD
Sig nal
C P LD P IN
L S2
P78
Join the Technical Community Today!
http://www.pantechsolutions.net
Example Code
To see the demo result, click
inside Stepper Motor and Relay folder of the CD.
SPI DAC
The Microchip Technology Inc. MCP492X, a 2.7 – 5.5V, low-power, low
DNL, 12-Bit Digital-to- Analog Converters (DACs) with optional 2x buffered
output and SPI interface, provides high- accuracy and low-noise
performance for industrial applications.
Features
12-Bit Resolution
±0.2 LSB DNL (typ), ±2 LSB INL (typ)
Single or Dual Channel
SPI™ Interface with 20 MHz Clock Support
Simultaneous latching of the Dual DACs w/LDAC
Fast Settling Time of 4.5 µs
Selectable Unity or 2x Gain Output
450 kHz Multiplier Mode
Table 6.DAC Interface with CoolRunner CPLD
Signal s
DAC_CS
DAC_SCK
DAC_SDI
CPLD P IN
P44
P45
P46
Join the Technical Community Today!
http://www.pantechsolutions.net
Figure 91.DAC Interface with CoolRunner CPLD
Example Code
To see the demo result, click
inside DAC folder of the CD
RS-232 Serial Port
USART stands for Universal Synchronous Asynchronous Receiver
Transmitter. CoolRunner Advanced Development Board supports both
types of communication. The CPLD Kit provides an RS232 port that can
be driven by the CoolRunner-II CPLD. A subset of the RS232 signals is
used on the CoolRunner CPLD Kit to implement this interface (RD and TD
signals). The CPLD Kit provides both male and female connector DB-9
connector, labeled P2 and P3. This board utilizes the Maxim Instruments
MAX3232 RS232 driver for driving the RD and TD signals. The user
provides the RS232 UART code, which resides in the CoolRunner-II CPLD.
Figure 10.CPLD Interface with RS232
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 7.RS232 signals and their pin assignments to the CoolRunner CPLD
Si gnal s
CPLD PIN
TXD1
P11
RXD1
P10
TXD2
P12
RXD2
P13
Figure 11.Detailed schematic of CPLD Interface with RS232
Example Code
To see the demo result, click
inside RS232 folder of the CD.
PS/2 Interface
The CoolRunner II CPLD Kit includes PS/2 mouse/keyboard port. Figure 13
shows the PS/2 connector, and Table 8 shows the signals on the connector.
Only pins 1 and 5 of the connector attach to the CPLD.
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 8. PS/2 Interface with CoolRunner CPLD
Connector Name Signal s
CPLD PIN
PS2
DATA
P2
PS2
CLK
P3
Table 9.PS/2 Bus Timing
Symbo l
Pa rame te r
MIN
MAX
Tck
Clock H igh or L ow Time
30us
50us
T su
D at a to C lo ck Se tup T ime
5us
20us
Thld
Clock to da ta H old Time
5us
20us
Figure 12.PS/2 Bus Timing Waveforms
Figure 13.PS/2 Interface with CoolRunner-II CPLD
Join the Technical Community Today!
http://www.pantechsolutions.net
Both the PC mouse and the keyboard uses the two-wire PS/2 serial
bus to communicate with a host device, the Spartan-3E CPLD in this case.
The PS/2 bus includes both clock and data. Both the mouse and the
keyboard drive the bus with identical signal timings and both use 11bit words that include a start, stop and odd parity bit. However, the
data packets are organized differently for a mouse and keyboard.
Furthermore, the keyboard interface allows bidirectional data transfers
so the host device can illuminate state LEDs on the keyboard.
The PS/2 bus timing appears in PS/2 Interface with CoolRunner CPLD
C onne ctor Name
Sig nal s
C PL D P IN
PS2
DATA
P2
PS2
CLK
P3
Table 9 and Figure 12 the clock and data signals are only driven when
data transfers occur; otherwise they are held in the idle state at logic
High. The timing defines signal requirements for mouse-to-host
communications
and bidirectional
keyboard
communications.
As
shown in Figure 13, the attached keyboard or mouse writes a bit on the
data line when the clock signal is High, and the host reads the data line
when the clock signal is Low.
Keyboard
The keyboard uses open-collector
drivers so that either the
keyboard or the host can drive the two-wire bus. If the host never sends
Join the Technical Community Today!
http://www.pantechsolutions.net
data to the keyboard, then the host can use simple input pins. A ps/2style
keyboard uses scan-codes to communicate
key press data.
Nearly all keyboards in use today are ps/2 style. Each key has a single,
unique
scan-code
that is sent whenever the corresponding key is
pressed. The scan-codes for most keys appear in Figure . If the key is
pressed and held, the keyboard repeatedly sends the scan-code every
100 ms or so. When a key is released, the keyboard sends an “f0” key-up
code, followed by the scan code of the released key. The keyboard sends
the same scan code, regardless if a key has different SHIFT and non-SHIFT
characters and regardless whether the SHIFT key is pressed or not. The
host determines which character is intended. Some keys, called extended
keys, send an “e0” ahead of the scan-code and furthermore, they might
send more than one scan code. When an extended key is released, an
“e0 f0” key-up code is sent, followed by the scan code.
Figure 15.PS\2 style scan-code keyboard
The host can also send commands and data to the keyboard. Table 104
provides a short list of some often-used commands.
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 10.Common PS/2 Keyboard Commands
C ommand
D e scri pt i on
ED
T urn o n /o f f N um Lo ck, C a p s L oc k, a nd Sc ro ll L oc k LE D s
EE
E ch o . U po n re cei v in g an ec ho com ma nd, t he k e ybo a rd rep l i e s w i t h the sa me
sc a n co de “ E E ”.
F3
S et s ca n c o de r e pe a t r a t e. T h e k ey b oa r d a c kno w l edg e s r e ce i p t o f a n “ F 3” by r
e t u rn in g a n “ FA ” , a f te r wh ic h th e ho st sen d s a sec on d by te to set t he r epe a t
ra te.
FE
R ese n d. U p on re ce ivi n g a re se n d c o mm a nd, t h e k eybo a rd r es e n d s the l a s
t sc a n c o de se nt
FF
R ese t. R ese ts t he ke yb o a rd
The keyboard sends commands or data to the host only when both
the data and clock lines are High, the Idle state, because the host is the
bus master, and the keyboard checks whether the host is sending data
before driving the bus. The clock line can be used as a clear to send signal.
If the host pulls the clock line Low, the keyboard must not send any data
until the clock is released. The keyboard sends data to the host in 11-bit
words that contain a ‘0’ start bit, followed by eight bits of scan code (LSB
first), followed by an odd parity bit and terminated with a ‘1’ stop bit.
When the keyboard sends data, it generates 11 clock transitions at around
20 to 30 kHz, and data is valid on the falling edge of the clock as shown in
Figure 124.
Join the Technical Community Today!
http://www.pantechsolutions.net
Mouse
A mouse generates a clock and data signal when moved; otherwise,
these signals remain High, indicating the idle state. Each time the mouse
is moved, the mouse sends three 11-bit words to the host. Each of the
11-bit words contains a ‘0’ start bit, followed by 8 data bits (LSB first),
followed by an odd parity bit, and terminated with a ‘1’ stop bit.
Each data transmission contains 33 total bits, where bits 0, 11, and 22
are ‘0’ start bits, and bits 10, 21, and 32 are ‘1’ stop bits. The three 8-bit
data fields contain movement data as shown in Figure 14. Data is valid
at the falling edge of the clock, and the clock period is 20 to 30 kHz.
Figure 14.PS/2 Mouse Transaction
A PS/2-style mouse employs a relative coordinate system (see
Figure ), wherein moving the mouse to the right generates a positive
value in the X field, and moving to the left generates a negative value.
Likewise, moving the mouse up generates a positive value in the Y field,
and moving it down represents a negative value. The XS and YS bits in
the status byte define the sign of each value, where a ‘1’ indicates a
negative value.
Join the Technical Community Today!
http://www.pantechsolutions.net
Figure 17.The Mouse Uses a Relative Coordinate System to Track Movement
The magnitude of the X and Y values represent the rate of mouse
movement. The larger the value, the faster the mouse is moving. The XV
and YV bits in the status byte indicate when the X or Y values exceed
their maximum value, an overflow condition. A ‘1’ indicates when an
overflow occurs. If the mouse moves continuously,
transmissions
the 33-bit
repeats approximately every 50 ms. The L and R fields in
the status byte indicate Left and Right button presses. A ‘1’ indicates that
the associated mouse button is being pressed.
Voltage Supply
The PS/2 port on the CoolRunner-II CPLD Kit is powered by 5V.
Although the CoolRunner-II CPLD is not a 5V-tolerant device, it can
communicate with a 5V device using series current- limiting resistors, as
shown in Figure 13.
Join the Technical Community Today!
http://www.pantechsolutions.net
Example Code
To see the demo result, click
inside PS/2 folder of the CD.
Analog / Digital Convertor
These ADCs are SPI Bus based which is a serial bus. So the
number of pins in IC is very low. Total of 4 lines are required to
interface it with FPGA.
MISO (Master In Slave Out)
MOSI (Master Out Slave In)
SCK (Serial Clock)
CS (Chip Select)
Figure 18.A/D Interface with CoolRunner CPLD
Table 11.A/D Interface with CoolRunner CPLD
Si gnal s
C P LD P IN
CS
P 40
SCK
P 41
SO
P 42
SI
P 43
Join the Technical Community Today!
http://www.pantechsolutions.net
Example Code
To see the demo result, click
inside ADC folder of the CD.
VGA Display Port
The CoolRunner II CPLD Lab Kit includes a VGA display port and DB15
connector, as indicated in Figure . You can connect this port directly to
most PC monitors or flat-panel GLCD displays using a standard monitor
cable.
Figure 19.VGA interface from CoolRunner II Board
As shown in Figure , the CoolRunner II CPLD controls five VGA signals: Red (R)
its 1st pin in connector, Green (G) its 2nd pin, Blue (B) its 3rd pin, Horizontal
Sync (HS) 13th pin, and Vertical Sync (VS) its 14th pin, all available on the
VGA connector. The CPLD pins that drive the VGA port appear in Table . A
detailed schematic is in Figure .
Join the Technical Community Today!
http://www.pantechsolutions.net
Table 16.CPLD connections to the VGA
Signals
CPLD PIN
RED
GREEN
BLUE
Horizontal Sync (Hs)
Ve rtical S ync (V s)
P9
P7
P6
P4
P5
Each color line has a series resistor to provide 3-bit color, with one bit
each for Red, Green, and Blue. The series resistor uses the 75 ohm VGA
cable termination to ensure that the color signals remain in the VGAspecified 0V to 0.7V range. The HS and VS signals are TTL level. Drive the
R, G, and B signals High or Low to generate the eight possible colors
shown in Table .
Table 17.3-Bit Display Color Codes
R ED
G R EEN
B LU E
R ESU L TING CO L OR
0
0
0
B LA CK
0
0
1
B LUE
0
1
0
G R EEN
0
1
1
CYAN
1
0
0
R ED
1
0
1
MA G EN TA
1
1
0
Y ELL OW
1
1
1
WHITE
VGA signal timing is specified, published, copyrighted, and sold by the
Video Electronics Standards Association (VESA). The following VGA
system and timing information is provided as an example of how the
Join the Technical Community Today!
http://www.pantechsolutions.net
CPLD might drive VGA monitor in 640 by 480 modes. For more precise
information or for information on higher VGA frequencies, refer to
documents available on the VESA website or other electronics
Websites: Video Electronics Standards
Association http://www.vesa.org
VGA Timing Information
http://www.epanorama.net/documents/pc/vga_timing.html
Signal Timing for a 60Hz, 640x480 VGA Display
CRT-based
VGA displays use amplitude-modulated,
moving
electron beams (or cathode rays) to display information on a phosphorcoated screen. GLCD displays use an array of switches that can impose a
voltage across a small amount of liquid crystal, thereby changing light
permittivity through the crystal on a pixel by-pixel basis. Although the
following description is limited to CRT displays, GLCD displays have
evolved to use the same signal timings as CRT displays. Consequently, the
following discussion pertains to both CRTs and GLCD displays. Within a
CRT display, current waveforms pass through the coils to produce
magnetic fields that deflect electron beams to transverse the display
surface in a “raster” pattern, horizontally from left
to
right
and
vertically from top to bottom. As shown in Figure , information is
Join the Technical Community Today!
http://www.pantechsolutions.net
only displayed when the beam is moving in the “forward” direction—left
to right and top to bottom—and not during the time the beam returns
back to the left or top edge of the display. Much of the potential display
time is therefore lost in “blanking” periods when the beam is reset and
stabilized to begin a new horizontal or vertical display pass.
The size of the beams, the frequency at which the beam traces
across the display, and the frequency at which the electron beam is
modulated determine the display resolution. Modern VGA displays
support multiple display resolutions, and the VGA controller indicates
the resolution by producing timing signals to control the raster patterns.
The controller produces TTL-level synchronizing pulses that set the
Join the Technical Community Today!
http://www.pantechsolutions.net
frequency at which current flows through the deflection coils and it
ensures that pixel or video data is applied to the electron guns at the
correct time. Video data typically comes from a video refresh memory
with one or more bytes assigned to each pixel location. The CoolRunnerII board uses three bits per pixel, producing one of the eight possible
colors shown in Table 17. The controller indexes into the video data
buffer as the beams move across the display. The controller
then
retrieves and applies video data to the display at precisely the time the
electron beam is moving across a given pixel. As shown in Figure , the
VGA controller generates the HS (horizontal sync) and VS (vertical sync)
timings signals and coordinates the delivery of video data on each pixel
clock. The pixel clock defines the time available to display one pixel of
information. The VS signal defines the “refresh” frequency of the
display, or the frequency at which all information on the display is
redrawn. The minimum refresh frequency is a function of the display’s
phosphor and electron beam intensity, with practical refresh frequencies
in the 60 Hz to 120 Hz range. The number of horizontal lines displayed
at a given
refresh
frequency
defines the horizontal
frequency.
Example Code
To see the demo result, click
inside VGA folder of the CD.
Join the Technical Community Today!
http://www.pantechsolutions.net
“retrace”
JTAG Programming/Debugging Ports
The CoolRunner II CPLD Lab kit includes a JTAG programming and
debugging chain. Additionally, there are two JTAG headers for driving the
JTAG signals from various supported JTAG download and debugging
cables. A PANTECH JTAG3 low-cost parallel to JTAG cable is included as
part of the kit and connects to the JTAG header. DB-25 parallel port
connector connects to the 6-pin female header connector. The JTAG
cable connects directly to the parallel port of a PC and to a standard 6pin JTAG programming header in the kit that can program a devices that
have a JTAG voltage of 1.8v or greater.
This JTAG header consists of 0.1-inch stake pins, located toward
the top edge of the board, directly below the two expansion connectors.
The Pantech low-cost parallel port to JTAG cable fits directly over the
header stake pins, as shown in Figure 15. When properly fitted, the cable is
Join the Technical Community Today!
http://www.pantechsolutions.net
perpendicular to the board. You must make sure that the signals at the
end of the JTAG cable align with the labels listed on the board. The other
end of the Pantech cable connects to the PC’s parallel port. The Pantech
cable is directly compatible with the Xilinx impact software.
Clock Source
The CoolRunner CPLD Lab Kit has a dedicated 50 MHz series clock
oscillator source
and an optional socket for another clock oscillator
source.
Table 12. Clock Oscillator Sources
Signal s
C P LD P IN
SMD -5 0MHZ
P 32
EXT C LO C K
P 38
Join the Technical Community Today!
http://www.pantechsolutions.net
Did you enjoy the read?
Pantech solutions creates information packed
technical documents like this one every month. And
our website is a rich and trusted resource used by a
vibrant online community of more than 1,00,000
members from organization of all shapes and sizes.
Join the Technical Community Today!
http://www.pantechsolutions.net
What do we sell?
Our products range from Various Microcontroller
development boards, DSP Boards, CPLD/CPLD boards,
Communication Kits, Power electronics, Basic electronics,
Robotics, Sensors, Electronic components and much more
. Our goal is to make finding the parts and information
you need easier and affordable so you can create
awesome projects and training from Basic to Cutting edge
technology.
Join the Technical Community Today!
http://www.pantechsolutions.net