Chapter 9 : Overall Equipment Efficiency OEE

Transcription

Chapter 9 : Overall Equipment Efficiency OEE
Chapter 9 : Overall Equipment Efficiency OEE
Non Scheduled Time
(Nichtbetriebszeit)
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* holidays
* Installation/rebuild/
shutdowns
* training
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft
)
* maintenance
* maintanence delay
* Material refill
* set-ups
Up Time (bereit)
Engineering Time
(...)
* process tests
* software tests
* experiments
cleanroom
7%
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
Standby Time
(Wartezeit)
* regular production
* work for 3rd party
* rework
* engineering runs
* no operator
* no product
* no support
OEE =
Integrated Circuit Manufacturing
Modul 1278
others
5%
building
8%
capital
costs
10%
equipment
70%
Theoretical time for effective tools
Total Time
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 1
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 2
9. OEE
9.1 Intro
Some News and Data about Semiconductor Fabs 2004-2007
The following news are from the www and only examples to get some data, also showing historical developments:
May 2004: http://www.xbitlabs.com/news/other/display/20040517085938.html,
AMD broke ground on its 300mm manufacturing facility on November 20, 2003. The new facility, named AMD Fab 36, is part of AMD Fab 36 LLC & Co. KG
and is being built in Dresden, Germany, adjacent to AMD Fab 30.
The Fab36 will cost about $2.4 billion in total. AMD gets $1.5 billion as help, which is $500 million as subsidies, grants, etc, $700 million is a loan and remaining
$300 as an equity from 2 partners - Saxony and some European investors. The rest $900 million are expected to come from AMD itself.
AMD projects the building to be completed in late 2004. The company will start the installation of equipment just before the end of 2004 and plans to start qualifications
of the factory in the late 1H 2005. AMD Fab 36 is expected to be in volume production in 2006. The new facility will employ roughly 1000 people.
The Fab 36, as expected, will manufacture chips in 300mm wafers using 65nm technology process. This will allow AMD to cost-effectively make its MPUs and this
seems to be the company’s primary goal for the new foundry. Even though there is 20% to 30% gap between the costs of manufacturing using 200mm wafers and
300mm wafers as well as shrink of fabrication technology to 65nm process also provides some cost benefits, CPUs also get more and more complex, as a result,
there are no breakthroughs in margins or costs expected by the company’s management.
The initial capacity of the fab is 13 000 300mm wafers per month, but the building itself allows AMD to expand the foundry to produce up to 20 000 wafers per month.
Oct 2006: Siltronic, Samsung Team Up to Build Wafer Fab, http://techon.nikkeibp.co.jp/article/HONSHI/20061110/123391/
Siltronic AG and Korean semiconductor company Samsung Electronics have announced a joint venture to construct a 300mm wafer fab in Singapore.
Siltronic is a division of Wacker Chemie AG of Germany, a silicone and polymer chemistry company specializing in fine chemistry, polysilicon production and
semiconductor technologies. Construction of the new wafer fab, which will adjoin Siltronic's existing complex, commenced in August this year.
Both companies expect production to start mid-2008; their aim is to reach 300,000 wafers per month in capacity by 2010, by which time about 800 new employees
should be working at the fab. The fab will be jointly operated by both Samsung and Siltronic; Siltronic will put in place the new CEO and the CTO, while Samsung
will select the new CFO and the COO. The fab is likely to be used solely for production; no major R&D activities are expected to be conducted at the fab.
The venture is expected to benefit both companies. "Samsung has a clear-cut expansion strategy and intends to invest US$33 billion in developing the
semiconductor industry up to 2012," said Park Sung-Hae, public relations manager at Samsung Electronics. "This joint venture is an important part of our plan
to ensure a stable source of supplies for the rapidly growing 300mm market." Locating the new fab near Siltronic's existing 200mm wafer plant in Singapore
is a logical technical and business move. "Geographical proximity of the new 300mm fab should substantially facilitate the transfer of know-how, implementation
of production processes and leveraging of synergies regarding the joint use of existing infrastructures," explained Christof Bachmair, spokesperson for
Wacker and Siltronic. According to Bachmair, benefits of the venture to Siltronic include a significant boost in innovation, time to market and quality.
Secured delivery of the fab's output to Samsung translates into a stable utilization rate, which is critical for profitability. Samsung, meanwhile, will have access to
a constant supply of 300mm wafers of the highest quality specifications.
April 2007: http://www.fabtech.org/content/view/2760/
Intel Corp. has invested $3 billion in a new 1,000-employee semiconductor fabrication plant, or "fab," that opens Thursday in Chandler.
Fab 32 is the only full-scale semiconductor-production facility in the world to employ the latest 300 millimeter/45 nanometer technology.
Die gesamte Fläche der Anlage beträgt gut 92.000 m², die Reinraumfläche beläuft sich hingegen auf 17.000 m².
Während der Planungs- und Bauzeit wird Intel allein knapp 3.000 Leute beschäftigen; in der Fab 32 werden später gut 1.000 hochqualifizierte Menschen arbeiten.
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 3
9. OEE
9.1 Intro
Some News and Data about Semiconductor Fabs
March 2007: Intel announces new China 300mm wafer fab, http://www.pcpro.co.uk/news/108728/intel-announces-new-china-300mm-wafer-fab.html
Intel is strengthening its ties with China with the announcement of a new 300mm wafer fab in the city of Dalian, in the north-east province of Liaoning.
While Intel has a number of such chip factories around the world - in Israel and Ireland, as well as the US - this is its first in Asia.
In what is described as a $2.5 billion investment, Fab 68 is Intel's first new wafer fab at a new site in 15 years.
'China is our fastest-growing major market and we believe it's critical that we invest in markets that will provide for future growth to better serve our customers,'
said Intel President and CEO Paul Otellini. 'Intel has been involved in China for more than 22 years and over that time we've invested in excess of $1.3 billion
in assembly test facilities and research and development. This new investment will bring our total to just under $4 billion, making Intel one of the largest foreign
investors in China.' 'This is one of the major cooperative projects between China and the United States in the area of integrated circuits manufacturing in recent
years,' said Zhang Xiaoqiang, vice chairman of the National Development and Reform Commission. 'The project will further strengthen Intel's leadership position
in the semiconductor manufacturing in the world.'
Work will start on the new fab later this year and production is scheduled to begin in the first half of 2010.
At that point there will be eight such 300mm plants in Intel's manufacturing network.
The advantage of the larger 300mm wafers - as opposed to previous 200mm sizes - is the manufacturing efficiency that can be achieved by cutting more chips
from a single wafer. Intel states that 300mm manufacturing technology consumes 40 per cent less energy and water per chip than a 200mm wafer factory.
Sep. 2007: Toshiba and SanDisk Inaugurate New 300mm Wafer Fab 4 for NAND Flash Memory at Yokkaichi Operations
Toshiba Corporation and SanDisk® Corporation today celebrated with a traditional ceremony and reception the opening of Fab 4, the latest 300mm wafer fabrication
facility at Toshiba’s Yokkaichi Operations, in Mie Prefecture, Japan.
Responding to continuous rising demand for NAND flash memory used in a wide range of digital applications, including digital media players, mobile phones, PCs
and memory cards, Toshiba started construction of Fab 4 in August 2006. Fab 4 is expected to start mass production in December 2007 and reach a production
capacity of 80,000 wafers a month in the second half of CY2008. The fab has space to expand capacity and further investment could take output to 210,000 wafers
per month, in response to the projected increase in future market demand. Fab 4 will employ cutting-edge 56-nanometer (nm) process technology at start-up,
and plans call for a gradual transition to 43 nm technology, starting from March 2008.
“Toshiba and our partner SanDisk are delighted to celebrate the construction of this new facility,” said Mr. Shozo Saito, Corporate Senior Vice President of Toshiba
Corporation and President & CEO of Toshiba’s Semiconductor Company. “Fab 4 will feature world-class manufacturing capabilities, both in scale and productivity.
It will support us in reinforcing our leadership in the fast growing global market for higher density NAND flash memories, and provide a powerful engine of growth
for both companies.” Dr. Eli Harari, Chief Executive Officer and Chairman of SanDisk Corporation, said, “Fab 4 is testimony to the success of the great partnership
and long-term commitment between Toshiba and SanDisk. The enormous size and technology scope of Fab 4 reflect our confidence and optimism for the future,
and we believe will enable us to competitively meet the growing demand for flash storage from our global customers in the years ahead.”
Outline of Fab 4 at Yokkaichi Operations
- Structure of Building: Steel frame concrete, five stories (two clean room stories)
- Building Area: approx. 35,500m2 (approx. 382,000 in square feet)
- Floor Area: approx. 181,000m2 (approx. 1,948,000 in square feet)
- Start Construction: August 2006
- Completion of Building: July 2007
- Start Mass Production: December 2007(plan)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 4
9. OEE
9.1 Intro
Some News and Data about Semiconductor Fabs 2009
GlobalFoundries held a groundbreaking ceremony for Fab 2 in Malta, N.Y.
The foundry's goal is to have the first tool move in by October 2011, with commercial production by the second half of 2012.
By David Lammers, News Editor -- Semiconductor International, July 24, 2009
As an Albany, N.Y., taxi driver ferried a visitor to the GlobalFoundries Fab 2 groundbreaking ceremony near the village of Malta, he said,
"For three years they've been talking about this, but I never thought they would actually build it."
Planning began in June 2006, and it was this year on June 19 that GlobalFoundries began clearing portions of its 230-acre site, located ~24 miles from Albany and seven
miles from Saratoga Springs. Fab 2 is expected to be making volume silicon by the second half of 2012, employing 1400 directly and an estimated 5000 indirect workers.
The spinoff of Advanced Micro Devices (AMD, Sunnyvale, Calif.) has room for two additional modules at the site.
That shift was supported by a $6B investment in GlobalFoundries by the Abu Dhabi Investment Co. (ATIC). The money will be spent to build Fab 2 at Malta,
expected to cost $4.2B, as well as to expand and upgrade the GlobalFoundries Module 2 in Dresden, Germany.
The state of New York is providing an estimated $1.2B in subsidies for Fab 2, and is investing additional funding to expand the University at Albany's nearby College
of Nanoscale Science and Engineering (CNSE). AMD contributed its existing manufacturing complex in Dresden as well as other assets, but no cash, providing AMD
with access to a new fab without capital outlays.
Because the site is not space-constrained, Fab 2 will be a two-level building rather than three-level. All of the wafer production will be on one floor, eliminating the need
to move wafers-in-progress (WIP) up and down floors. A "zero footprint storage" approach will put some wafer stockers above the tools, he added.
Implant will be located off of the main waffle slab, reducing construction costs, and maintenance shops will be on the upper production floor to further improve efficiencies.
Though its labor costs in both Dresden and Malta will be higher than at many Asian fabs,
manufacturing innovations will make GlobalFoundries cost-competitive with Taiwan
Semiconductor Manufacturing Co. Ltd. (TSMC, Hsinchu, Taiwan). GlobalFoundries is investing
in the midst of a severe downturn, which also will reduce costs compared with the more
expensive 24/7 construction schedules used when fabs are built in a hurry. Armour said it may
take 18 months to build Fab 2, which is expected to have a 220,000 sq. ft. cleanroom with an
option to expand cleanroom space to 300,000 sq. ft. The goal is to have the first tool move in
by October 2011, with qualification coming in early 2012 and commercial production by the
second half of 2012, a schedule that could be accelerated somewhat "depending on market
conditions," Armour said.
Sonderman said construction begins at a time "of a lot of pent-up demand for advanced foundry
capacity."
Fab 2 will start at 28 nm technology, and then bring up a 22 nm SOI process for CPU
production. GlobalFoundries will support AMD's manufacturing needs with the current 45 nm
production, moving to 32 and 22 nm production. For foundry customers, however, most of the
interest is at the half nodes, including 40 nm bulk technology immediately at Dresden and 28 nm
high-k/metal gate technology late next year when 28 nm customer designs start to be accepted.
Sonderman said GlobalFoundries is accelerating its effort to support 40 nm bulk production,
which he said comes as customers express concerns about yields at TSMC.
"We definitely want to be a counterbalance to TSMC," Sonderman said, outlining plans to offer, by 2013, 600,000 wspy at Dresden and 400,000 wspy at Fab 2.
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 5
9. OEE
9.1 Intro
Some News and Data about Semiconductor Fabs (2011)
Intel: Neue Chipsatzfabrik Fab 42
Intel: Neue Chipsatzfabrik Fab 42 für 14 Nanometer Fertigung
Bereits ab 2013 möchte Intel die neue Fab 42 für die Fertigung von 14 Nanometer Transistoren in Betrieb nehmen.
Damit vergrößert sich der Campus in Arizona um ein 5 Milliarden US-Dollar Komplex.
von Felix Sold, Sonntag 20.02.2011
Im Zuge des Zusammenkommens von US-Präsident Barak Obama und den Größen der amerikanischen IT-Branche
am 18. Februar 2011 hat Intel CEO Paul Otellini den Bau einer neuen Chipsatz-Fabrik angekündigt.
Die Fab 42 soll ab Mitte 2011 auf Intels Campus in Chandler, Arizona, entstehen.
Ab 2013 soll die Produktion von modernen 14 Nanometer Transistoren anlaufen.
Die späteren Chipsätze sollen auf großen 300 Millimetern Wafern Platz finden.
Nach Otellini wird die neue Fab 42 nach Fertigstellung die modernste Halbleiterfabrik der Welt sein.
Das gesamte Projekt wird Intel nach eigenen Angaben zirka 5 Milliarden US-Dollar kosten,
aber zugleich Tausende Arbeitsplätze in den Vereinigten Staaten schaffen, was unteranderem ein Anliegen von Obama war.
Die Zeitung Arizona Republic steht diesem Vorhaben kritisch gegenüber, da unter Berufung auf das
Greater Phoenix Economic Chouncil (GPEC) nur rund 1000 feste Mitarbeiter eingestellt werden sollen.
Für die geplante dreijährige Bauzeit der Fab 42 werden hingegen 14.000 Mitarbeiter benötigt, die demnach
keine feste Zukunft im Unternehmen haben sollen.
Toshiba und SanDisk eröffnen in Japan gemeinsame Fab 5-Produktionsstätte für 300-mm-NAND-Flash-Speicher
(PresseBox) Yokkaichi, Mie, Japan, 12.07.2011, Toshiba Corporation (TOKYO: 6502) und SanDisk Corporation (NASDAQ: SNDK)
feierten heute die Eröffnung von Fab 5, der dritten 300-mm-Wafer-NAND-Produktionsstätte auf dem Gelände von Toshiba Yokkaichi
Operations in der japanischen Präfektur Mie.
Die Nachfrage nach Smartphones, Tablet-PCs und anderen elektronischen Geräten und der damit verbundene weltweite Bedarf an
NAND-Flash-Speichern steigt rasant an. Der Grundstein für den Bau von Fab 5 wurde im Juli 2010 durch Toshiba gelegt. Der
Herstellungsprozess in dieser neuen Produktionsstätte, die von Toshiba und SanDisk technisch ausgestattet wurde, startet im Juli
2011. Die Produktion in Fab 5 basiert derzeit auf der 24-nm*-Technologie, die ersten Speicher-Chips werden das Werk im August
verlassen. Nach und nach wird in Fab 5 zudem auf noch fortschrittlichere Prozesse umgestellt. So wurde bereits vor kurzem die
Umstellung auf eine 19-nm*-Technologie angekündigt; hierbei handelt es sich um die weltweit kleinste und leistungsstärkste
Fertigungstechnik.
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 6
9. OEE
9.1 Intro
Fab Investment Costs
Situation:
Investment costs of a ready-to-start semiconductor fab
2012 TSMC, FAB14, 20nm
Fab Costs [Mill. US$]
10000
1000
Samsung,
China,300mm,
Flash, 10nm
Toshiba, Japan,
NAND
Intel, Fab42, 450mm, 14nm
GlobalFoundries, 28nm
INTEL, FAB28, 3. 45nm FA
AMD, FAB36, Dresden, 300mm, 65nm
announced in www
various
sources
Split-up of costs:
100
cleanroom
7%
~ Factor 10 in 15 years
=> Factor 1.6 in 3 years
others
5%
building
8%
capital
costs
10%
equipment
70%
10
1965 1970 1975 1980 1985 1990 1995 2000 2005 2010 2015 2020
Year
The investment cost for a semiconductor fab are increasing exponential (~ factor 10 in 15 years)
Equipment costs are about 2/3 of investment costs
Integrated Circuit Manufacturing
Modul 1278
Equipment must be profitable !
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 7
9. OEE
9.1 Intro
Fab Equipment Costs
About every 2 years a new generation ( = smaller dimensions)
of equipment is needed (see change to new color in figure)
Total equipment spending is the sum of node-specific tools and node-independent tools
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 8
9. OEE
9.1 Intro
Fab Equipment Costs
CAPEX = capital expenditure = money for invest
Some numbers to see where the money goes:
Gartner: Semiconductor equipment spending to boost by 15
percent »
http://www.siliconsemiconductor.net/
Wednesday 9th July 2014
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 9
9. OEE
9.1 Intro
Cost Breakdown for a Semiconductor Fab
Typical Invest for a 200mm Fab ( ~ year 2002 ):
see previous pages
-> Equipment 70%
1´400 Mill.
-> Hook-up 10%
700 Mill.
-> Building 8%
160 Mill.
-> Cleanroom 7%
140 Mill.
-> Utilities 5%
100 Mill.
---------------------------------------------------------------------~ 2´500 Mill. €
Typical Operational Costs (27k waferstarts per month WSPM):
from various company reports
-> Equipment Depreciation 36% 9,72 million / week
-> Facility Depreciation 6%
1,62 million / week
-> Silicon 15%
4,05 million / week
Semiconductor fabs are not ! personnel
-> Personnel 6%
1,62 million / week
cost intensive !
-> Utilities 10%
2,70 million / week
-> Process chemicals & gases 8% 2,16 million / week
-> Maintenance 7%
1,89 million / week
-> Others 10%
2,70 million / week
-------------------------------------------------------------------------------27 million € / week = 4 mill.€/day
Due to huge invest and operating costs for tools, minimal improvements result in high cost reduction
again:
Integrated Circuit Manufacturing
Modul 1278
Equipment must be profitable !
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 10
9. OEE
9.1 Intro
The Goal of Overall Equipment Efficiency OEE
4-Partner Model
Optimization strategy:
Operator, 90%
Tool, 80%
Single partner availability
and synchronization
WIP, 85%
Process, 85%
Analysis of the synchronization degree
new loop
Increase of availablity of the single partners
OEE deals with
the increase of productivity
of equipment
Increase of synchronization
Increase of availability of the worst partner
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 11
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 12
9. OEE
9.2 Tool Manufacturers
Leading Equipment Manufacturer
During the years not many changes in the top 10 equipment suppliers
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 13
9. OEE
9.2 Tool Manufacturers
Leading Equipment Manufacturer
2007
Company
Applied Materials, USA
Value [Mill.€]
(total assets *)
Employees
Revenue 2007
[Mill. $US]
9´700
14´000
8´400
http://www.appliedmaterials.co
m/investors/fundamentals.html
Tokyo-Electron, Japan
www.tel.co.jp
ASML, NL
4´400
34 %
9´500
5´100
coater/developers, furnaces, dry etchers, CVD and PVD systems, wet cleaning systems, and test systems
7´100
6´200
4´500
44 %
Equipment for lithography, CVD, oxidation
3´600
www.kla-tencor.com
LAM Research,
Growth %
(1998-2001)
All tools (with exception of lithography, cleaning), metrology tools, consulting
www.asml.com
KLA-Tencor, USA
EU / US /Asien %
6´000
2´700
%
Global over 50% of all metrology tools, CMP, etch, lithography
1´700
2´400
1´600
59 %
Etching Systems
Advantest, Japan
2´800
3´700
www.advantest.co.jp/
Novellus, USA
1´900
Electron beam lithography, IC-Test systems
1´800
3´700
1´700
www.novellus.com
26 %
CVD, PVD,
Most companies values can be found with: http://finance.google.com/
* Assets: counting the value of all existing things (money, area, buildings, cars, inventory, ..)
But because the company is creating money and the development is taken into account and the number of existing stocks
the companies´ market values usually is a factor 2-3 higher than total assets (see numbers on next page)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 14
9. OEE
9.2 Tool Manufacturers
Leading Equipment Manufacturer
2001
Historic values to compare:
Company
Value [Mill.€]
Employees
Revenue 2001
[Mill.€]
EU / US /Asien %
Growth %
(1998-2001)
47´000
17´000
7´340
15 / 29 / 56
22 %
Applied Materials, USA
www.appliedmaterials.com
KLA-Tencor, USA
All tools (with exception of lithography, cleaning), metrology tools, consulting
14´000
www.kla-tencor.com
ASML, NL
6´400
13´000
7´000
1´800
8´500
3´000
1´300
1´300
500
700
www.emcore.com
240
450
1 / 79 / 21
37 %
41 / 16 / 43
63 %
130
20 / 19 / 61
60 %
wet-chemical cleaning, spin-coater
340
1´100
www.semitool.com
Emcore, USA
33 %
MOCVD (for compound semiconductors)
www.sez.com
Semitool, USA
15 / 28 / 48
CVD, PVD,
www.aixtron.com
SEZ, A
22 %
Equipment for lithography, CVD, oxidation
www.novellus.com
Aixtron, D
19 / 34 / 47
Global over 50% of all metrology tools, CMP, etch, lithography
www.asml.com
Novellus, USA
2´100
250
26 / 36 / 16
12 %
wet-chemical cleaning, Electroplating
360
870
180
6 / 52 / 42
62 %
tools for compound semiconductors, manufacturer of compound semiconductor devices
source: various company reports 2001
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 15
9. OEE
9.2 Tool Manufacturers
Two Leading Organisations
SEMI (Semiconductor Equipment and Materials International)
represents about 2´500 equipment manufacturers and material suppliers of semiconductor and flat-panel industry.
http://www.semi.org/
SEMI was founded in 1970 to strengthen the members by corporate appearance (e.g. at exhibitions like SEMICON)
and concerted action.
The members occupy an annual market volume of about 20´000 Mill.€
(semiconductor manufacturers about 200´000 Mill.€).
introducing many equipment standards
http://www.sematech.org/
International SEMATECH, (SEmiconductor MAnufacturing TECHnology), headquartered in Austin, Texas, USA
is a global consortium of leading semiconductor manufacturers that represent about 50% of the world’s semiconductor production.
(e.g. AMD, Hewlett-Packard, Infineon, IBM, Intel, Motorola, Philips, TSMC, and Texas Instruments).
SEMATECH was founded in 1986 as a cooperation of 14 US semiconductor manufacturers, supported by the U.S. government, to
strengthen the position of U.S. semiconductor industry (Japan, Taiwan, Korea gained market leadership). By cooperation resources and risks
should be shared, industry infrastructure should be improved, and particularly a support of domestic equipment suppliers should be forced.
In 1994 the support of the U.S. government ended, SEMATECH had to look for global funding.
In 1995 SEMATECH founded the so-called "International 300mm-Initiative I300I" together with 6 US companies and 7 non-US companies to
develop tools, standards and specifications.
In 1998 SEMATECH renamed itself in International SEMATECH.
The goal of SEMATECH still remains the same: to coordinate semiconductor manufacturers and equipment suppliers to solve common
challenges and to guaranty the existence and prosperity of semiconductor industry.
www.sematech.org/public/index.htm
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 16
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 17
9. OEE
9.3 SEMI-Standards
SEMI-Standards for Measurement of Tool Productivity
Around 1995 the semiconductor industry recognized, that further growth could only be achieved by increasing wafer size from
200mm to 300 mm. For this task new process tools had to be developed. At the same time the investment costs of a semiconductor fab (mainly due to equipment costs) touched the financial limit of single companies.
To avoid this danger co-operations and consortia (like SEMATECH, SEMI) were founded or boosted,
in addition possibilities to improve productivity (more working chips for less costs) were investigated.
During the investigations for productivity it was found, that a lot of the very expensive fabrication tools of a semiconductor fab
were only used less than 50% of time for production of sellable chips. For the improvement of this low productive time
a measurement standard had to be developed, which defines the status of each tool and also could be controlled automatically.
Initiated by SEMI these standards and specifications were developed and defined in so-called E(quipment)-Standards.
E116
Tool productivity and
cost management
E35
Automated Equipment Performance Tracking
(= data exchange with computer and software)
E79
Cost of Ownership
Definitions and calculations for tool productivity
Tool status
management
E10
1995
E58
Definition of tool status to calculate
availability and reliability
E30
Definition of control commands between tool and computers
Tool communication
management
E4, E5
Definition of data interface tool and computer (RS-232, TCP/IP)
year
1997
1998
1999
2000
Integrated Circuit Manufacturing
Modul 1278
2001
2002
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 18
9. OEE
9.3 SEMI-Standards
Example for Equipment Status
Typical semiconductor equipment: implantation tool
Typical process flow:
signals:
red/white = tool breakdown
yellow = tool stand-by
green = tool working
material (waiting)
1 wafer input
2 wafer loading on stage
3 process (implantation)
4 wafer unload from stage
5 wafer output
tool
load locks
process control
operator
(assist)
for these basic processes several status commands must be controlled
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 19
9. OEE
9.3 SEMI-Standards
Example for Equipment Status
For the calculation of productivity first must be done:
1. within the tool all possible statuses must be defined
2. these statuses must be reported to external user (data interface, operator, computer)
Example for the definition of technical conditions within a tool:
wafers on transport
disk load
wafers loaded in load lock
operator loads
wafer by hand
disk loaded
beam adjust
implant
implant in hold
assist in
disk stop
waiting for unload
ready for loading
Standby:
Waiting for operation
stand-by
assist out
disk unload
wafers in load lock
disk unloaded
Because standards were defined in 2000 still tools exist without these requirements
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 20
9. OEE
9.3 SEMI-Standards
Need for Standards in a 300 mm Fab
Source: Sematech, I300Iand J300 E Global Joint Guidance Document, 1997
Due to wafer transport automation a lot of new standards had to be defined for new 300 mm fabs
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 21
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 22
9. OEE
9.4 SEMI-E10
The SEMI-E10 Standard
The task of the SEMI-E10 Standard is the definition of the various operating statuses as a time model
Non Scheduled Time
(Nichtbetriebszeit)
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* holidays
* Installation/rebuild/
shutdowns
* training
Family in holidays
(non scheduled time)
* run out
op.material
* out of specs
* Repair
Switch defect
(unscheduled)
Up Time (bereit)
Scheduled
Down Time
(geplante
Nichtbereitschaft)
Engineering Time
(...)
* maintenance
* maintanence delay
* Material refill
* set-ups
Refill salt
(maintenance)
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
Standby Time
(Wartezeit)
* regular production
* work for 3rd party
* rework
* engineering runs
* no operator
* no product
* no support
New washing powder
(=engineering)
Integrated Circuit Manufacturing
Modul 1278
Machine works
(=productive time)
Machine empty
(=stand-by)
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 23
9. OEE
9.4 SEMI-E10
From SEMI-E10 to SEMI-E79 (OEE)
Non Scheduled Time
(Nichtbetriebszeit)
Operations Time (Betriebszeit)
Down Time (nicht bereit)
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* holidays
* Installation/rebuild/
shutdowns
* training
* run out
op.material
* out of specs
* Repair
Up Time (bereit)
Scheduled
Engineering Time
Down Time
(...)
(geplante
Nichtbereitschaft)
* maintenance
* maintanence delay
* Material refill
* set-ups
* process tests
* software tests
* experiments
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
Standby Time
(Wartezeit)
* regular production
* work for 3rd party
* rework
* engineering runs
* no operator
* no product
* no support
Using the known informations in E10 status will be defined,
which describe the production status of the tool
-> 6 main statuses with sub-states
the tool informs about its status
Manufacturing Execution
System (MES)
(knows a lot:
where is which lot,
what is about the support,...)
the computer system adds additional informations
with this informations calculations of productivity can be performed (E79)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 24
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 25
9. OEE
9.5 SEMI-E79
The SEMI-E79 Standard (Overall Equipment Efficiency OEE)
Using the E10-states the productive time as a parameter of productivity or efficiency can be determined.
But for an absolute calculation the time value efficiency is not sufficient, because it may be possible, that the tool is working
for production, but much too slow. For this reason with an additional E79-standard efficiency losses are defined.
SEMI E79 :
* uses E10 as a base for time recording
* defines efficiency losses on a time base
* defines with this efficiency losses an Overall Equipment Efficiency (OEE)
* is applicable also for complexe equipment (Cluster-tools)
E10 time states
Non Scheduled Down Time
Scheduled Down Time
Unscheduled Down Time
E79 efficiency losses
Availability Efficiency Losses
(Verfügbarkeitsverluste)
Engineering
uptime
Total Time
Standby
Operational Efficiency Losses
(Betriebsverluste)
Rate Efficiency Losses
(Durchsatzverluste)
Performance
Efficiency
Losses
(Leistungsverluste)
Overall Equipment
Efficiency, OEE
* loss based
(verlustbasierend)
Productive Time
Quality Efficiency Losses
(Qualitätsverluste)
Theoretical productive time
for effective tools
Integrated Circuit Manufacturing
Modul 1278
Overall Equipment
Efficiency, OEE
*time based
(zeitbasierend)
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 26
9. OEE
9.5 SEMI-E79
Definitions for Equipment Efficiency
Availabili ty Efficiency
Rate Efficiency
RE 
Salt must be refilled
-> E10: scheduled down
but no salt available -> maintenace delay
-> E79: availability loss
uptime
AE 
total time
theoretica l production time for actual units
actual production time
Machine filled, but forgotten
to start
-> E10: standby
-> E79: operational loss
production time
Operationa l efficiency OE 
up time
Rate of Quality
cleaning time too long,
because temperature
sensor defect
-> E10: productive
-> E79: throughput loss
theoretica l production time for effective units
RQ 
theoretica l production time for actual units
Integrated Circuit Manufacturing
Modul 1278
Machine worked,
but dishes not clean,
cannot be used
-> E10: productive
-> E79: quality loss
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 27
9. OEE
9.5 SEMI-E79
Definitions of Overall Equipment Efficiency OEE
Overall Equipment Efficiency
Definition following SEMI - E 79
OEE =
Availability
Efficiency
OEE =
x
Operational
Efficiency
Availability
Efficiency
OEE =
x
x
Rate
Efficiency
Performance
Efficiency
x
x
Rate of
Quality
Rate
Efficiency
Theoretical time for effective tools
Total Time
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 28
9. OEE
9.5 SEMI-E79
Example for Calculation of OEE
Example:
A
Load
lock
In a cluster-tool for metal deposition two processes A,B are performed.
For calculation of OEE using E10 the following times (and processed wafers) are recorded:
B
Total Time
1 week = 7 days = 168 h
Non Scheduled Time
(Nichtbetriebszeit)
cluster tool
Operations Time (Betriebszeit)
Down Time (nicht bereit)
0h
Unscheduled
Down Time
(ungeplante
Nichtbereitschaft)
* holidays
* Installation/rebuild/
shutdowns
* training
* run out
op.material
* out of specs
* Repair
Scheduled
Down Time
(geplante
Nichtbereitschaft)
* maintenance
10h
Up Time (bereit)
Engineering Time
(...)
* process tests
* software tests
* experiments
* maintenance delay
4h
8h
5h
Manufacturing Time
(Produktionszeit)
Productive Time
(Produktive Zeit)
Standby Time
(Wartezeit)
* regular production
* no operator
* no product
* no support
A 20,4h
427 w
B 68,7h
1033 w
24,6h
* rework
* Material refill
h: hours
w: wafers
A 3h
47 w
B 5,6h
65+3w
2h
* Setups
68 wafer processed,
but 3 are scrap
* engineering runs
12h
A 4,7h
97+2w
B 0h
0w
99 wafer processed,
but 2 are scrap
 of all wafers = 1´674
The theoretical process times are due to the equipment supplier: A: 2.5min/wafer und B: 3.3 min/wafer
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 29
9. OEE
9.5 SEMI-E79
Example for Calculation of OEE
Availabili ty 
uptime
total time
Availabili ty 
uptime
5h  102,4h  24,6h 132h


 78,6%
total time
168h
168h
n
Rate Efficiency
theoretica l production time
RE 

actual production time
 RCT
n
 number of wafers n 
all processes
n
 production time
n
all processes
Rate Efficiency
Operationa l efficiency
RE 
2.5 min/ wafer  427  47  99wafer  3.3 min/ wafer  1033  68  0wafer 5065 min

 0,824  82,4%
20,4h  3h  4,7h  68,7h  5,6h  0h 60 min/ h
6144 min
 production timen
production time
all processes
OE 

up time
eng .time  s tan dby   production timen
all processes
Operational Efficiency
Rate of Quality 
Total Wafers Pr ocessed  reworked  scrap
Total Wafers Pr ocessed
OEE =
Availability
Efficiency
x
Rate of Quality 
Operational
Efficiency
x
OE 
28,1h  74,3h
 0,776  77 ,6%
5h  24,6h  28,1h  74,3h 
1674  47  2  65  3
 0.928  92,8%
1674
Rate
Efficiency
x
Rate of
Quality
OEE = 0,78 * 0,82 * 0.77 *0.93 = 0.46 = 46% !!
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 30
9. OEE
9.5 SEMI-E79
Computer Assisted Manufacturing CAM for OEE
From the reported tool states and the information from the computer system (MES) the productivity
can be calculated for single tools and for complete tool groups by software.
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 31
9 Overall Equipment Efficiency OEE
9.1 Introduction
9.2 Equipment Manufacturers and Organisations
9.3 Semi-Standards
9.4 SEMI-E10
9.5 SEMI-E79, Overall Equipment Efficiency OEE
9.6 From OEE to Overall Factory Efficiency OFE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 32
9. OEE
9.6 OEE to OFE
From SEMI-E79 (OEE) to SEMI-E?? OFE
With SEMI-E79 the productivity of the equipment can be calculated.
But in a semiconductor fab the tools are not working isolated, they must be supported (infrastructure = electricity, clean water,
clean air, computer system, ...).
Disposals are created, which must be handled, and also additional equipment (support = transport system, metrology and
analysis instruments) exists.
All these supporting systems consume money, can break down and reduce productivity of the fabrication tools.
It suggests itself using the Overall Equipment Efficiency OEE to develop an Overall Factory Efficiency OFE.
FAB productivity and
cost management
Tool productivity and
cost management
E35
E124
E79
Cost of Ownership
Provisional Guide 2003
Definitions and calculations for tool productivity
Tool status
management
E10
1995
E58
Definition of tool status to calculate
availability and reliability
E30
Definition of control commands between tool and computers
Tool communication
management
E4, E5
Definition of data interface tool and computer (RS-232, TCP/IP)
year
1997
1998
1999
2000
Integrated Circuit Manufacturing
Modul 1278
2001
2002
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 33
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Bottom Up Approach - Facilities and Production Model:
Fab
Production
Supply
Wet Etch
Disposal
CMP
Ion Implant
Diffusion
Process
Area
Process
Equipment
prod
idle
down
Equipment
States
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 34
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for one special tool:
Wet Bench
W 3.1 - W 3.9
cleanroom air
CDA
UHP-O2
HP-N2
Production
H2O2
HCl
HF
IPA
NH4OH
PCW supply
UPW supply
UPW hot supply
city water
Different costs
for stand-by and production
Standby
0.00 $
48.44 $
0.00 $
0.12 $
2116.20 $
403.72 $
515.16 $
212.24 $
438.79 $
0.00 $
411.09 $
211.22 $
0.70 $
EP-208-3P
13.67 $
EP-400-3P
97.46 $
cleanroom air
CDA
UHP-O2
HP-N2
H2O2
HCl
HF
IPA
NH4OH
PCW supply
UPW supply
UPW hot supply
city water
wafer in
0.00 $
0.00 $
0.00 $
853.42 $
48.97 $
41.47 $
EP-400-3P
49.77 $
cleanroom air
acid exhaust
general exhaust
solvent exhaust
PWW-HF-SO4
0.00 $
disposal chemicals IPA
UPW return
19.64 $
0.00 $
PCW return
service
0.00 $
wafer out
23.00 $
21.81 $
46.67 $
57.82 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
18.36 $
191.30 $
163.82 $
8.19 $
EP-208-3P
10.72 $
0.00 $
8.80 $
1.87 $
22.24 $
0.28 $
0.00 $
491.01 $
16.13 $
0.00 $
T. Vonderstrass, E. Mueller ( M+W Zander)
cleanroom air
acid exhaust
general exhaust
solvent exhaust
disposal chemicals IPA
PWW-HF-SO4
PCW return
service
E4 Technologies
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 35
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for several tools in a process modul:
cleanroom air
CDA
UHP-O2
UHP-N2
HP-N2
CO2
BHF
EKC
EG
H2O2
H2SO4
H3PO4
HCl
HF
IPA
NH4OH-2
BOE
PCW supply
UPW supply
UPW hot supply
city water
EP-208-3P
EP-220-1P
EP-400-3P
198.01 $
205.79 $
52.08 $
Wet Processes
wafer in
38.97 $
141.42 $
1.12 $
0.00 $
328.57 $
10813.08 $
1664.69 $
2708.16 $
40.02 $
377.83 $
cleanroom air
5.13 $
acid exhaust
caustic exhaust
79.16 $
0.28 $
general exhaust
309.51 $
solvent exhaust
disposal chemicals EKC
301.57 $
51.39 $
disposal chemicals EG
disposal chemicals H2SO4
disposal chemicals H3PO4
296.01 $
400.52 $
10.82 $
499.06 $
557.35 $
260.57 $
1210.92 $
0.00 $
PCW return
UPW return
494.56 $
328.57 $
0.00 $
787.82 $
UPW hot return
66.21 $
1524.33 $
1740.00 $
505.50 $
0.00 $
0.02 $
0.00 $
8.89 $
127.55 $
6.58 $
0.00 $
79.30 $
0.00 $
0.00 $
wafer out
disposal chemicals HF-NH4F
disposal chemicals IPA
PWW-HF-SO4 free
PWW-HF-SO4
PWW-UPW-recycling
PWW-As-acid
process waste water- final rinse
model parameter
260.86 $
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 36
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for all process modules in a FAB
Support
Production
53.98 $
cleanroom air
Diffusion
cleanroom air
UHP-H2
UHP-Ar
UHP-O2
UHP-N2
HP-N2
AsH3-H2
HCl (gas)
N2O
NH3
SiH2Cl2
SiH4
PCW supply
TEOS
TEAS
EP-208-3P
EP-220-1P
EP-400-3P
273.11 $
10.38 $
2.65 $
46.36 $
44.03 $
1953.80 $
4.13 $
0.82 $
17.11 $
wafer in
143.68 $
286.46 $
0.60 $
2.63 $
399.47 $
0.00 $
cleanroom air
PCW return
model parameter
0.00 $
UHP-He
toxic exhaust
0.00 $
SF6
cleanroom air
general exhaust
toxic exhaust
PCW return
model parameter
0.00 $
387.08 $
20.21 $
PCW supply
BF3
PH3
EP-208-3P
EP-400-3P
UHP-He
B2H6-N2
HCl (gas)
NF3
NH3
0.00 $
759.66 $
78.62 $
531.36 $
54.04 $
1985.99 $
34.24 $
252.12 $
PCW return
484.23 $
3.04 $
0.00 $
model parameter
wafer in
toxic exhaust
CDA
PCW supply
EP-208-3P
UPW hot supply
815.14 $
PCW supply
EP-400-3P
cleanroom air
CDA
UHP-O2
UHP-N2
HP-N2
CO2
BHF
EKC
EG
H2O2
H2SO4
H3PO4
HCl
HF
IPA
NH4OH-2
BOE
PCW supply
UPW supply
UPW hot supply
city water
EP-208-3P
EP-220-1P
EP-400-3P
cleanroom air
CDA
UHP-Ar
UHP-O2
UHP-N2
HP-N2
P-N2
UHP-He
BCl3
C2F6
C4F8
CF4
CH4
CHF3
Cl2
CO
HBr
HCl (gas)
He/O2
NF3
SF6
CH3F
SiF4
PCW supply
UPW supply
EP-208-3P
EP-220-1P
EP-400-3P
205.79 $
52.08 $
Wet Processes
CDA
HP-N2
40.02 $
wafer in
141.42 $
cleanroom air
377.83 $
38.97 $
5.13 $
0.00 $
1.12 $
79.16 $
328.57 $
0.28 $
10813.08 $
309.51 $
1664.69 $
301.57 $
2708.16 $
51.39 $
10.82 $
400.52 $
0.00 $
499.06 $
260.57 $
557.35 $
79.30 $
1210.92 $
0.00 $
494.56 $
0.00 $
328.57 $
787.82 $
66.21 $
1524.33 $
1740.00 $
0.00 $
8.89 $
0.02 $
0.00 $
0.00 $
127.55 $
6.58 $
0.00 $
wafer out
F2-Kr-Ne
caustic exhaust
Kr-Ne
general exhaust
poly
solvent exhaust
PR-1
disposal chemicals EKC
PR-2
disposal chemicals EG
PR-3
disposal chemicals H2SO4
296.01 $
505.50 $
UHP-He
acid exhaust
PR-4
disposal chemicals H3PO4
PR-5
disposal chemicals HF-NH4F
PR-DUV-1
disposal chemicals IPA
PR-DUV-2
PCW return
UPW return
UPW hot return
PR-DUV-3
PGMEA (DUV)
PGMEA
PWW-HF-SO4 free
TMAH-1
PWW-HF-SO4
TMAH-2
QZ
PWW-UPW-recycling
PCW supply
PWW-As-acid
process waste water- final rinse
UPW supply
EP-208-3P
model parameter
EP-220-1P
260.86 $
UPS-240-1P
182.61 $
0.00 $
49.33 $
13.04 $
1.57 $
13.68 $
14.36 $
31.58 $
32.60 $
0.00 $
0.00 $
124.10 $
Lithography
68.95 $
24.91 $
cleanroom air
PCW return
model parameter
Parts Cleaning
UPW supply
395.13 $
EP-208-3P
170.84 $
0.00 $
590.42 $
wafer out
27.49 $
TEOS
0.00 $
209.97 $
0.00 $
508.78 $
300.94 $
TEPO
198.01 $
25.00 $
wafer out
50.07 $
C6H15BO3
cleanroom air
model parameter
Wafer Test
cleanroom air
general exhaust
8588.40 $
1712.17 $
WF6
PCW supply
wafer out
PCW return
0.00 $
wafer out
306.45 $
SiH4
478.78 $
wafer out
cleanroom air
1.31 $
0.00 $
516.91 $
SiH2Cl2
1.55 $
68.86 $
0.00 $
74.37 $
0.00 $
76.93 $
N2O
PH3-H2
1420.24 $
869.19 $
AsH3
0.00 $
46.33 $
138.93 $
56.95 $
169.78 $
29.83 $
P-N2
acid exhaust
EP-220-1P
1.36 $
9.28 $
P-N2
14.37 $
HP-N2
general exhaust
wafer in
36.63 $
HP-N2
0.04 $
UHP-Ar
wafer in
PCW supply
107.90 $
UHP-N2
0.00 $
13.90 $
CDA
Metrology
PECVD
6.78 $
UHP-O2
138.49 $
cleanroom air
4.64 $
57.70 $
CDA
UHP-H2
UHP-Ar
wafer in
0.00 $
54.05 $
460.32 $
415.46 $
809.35 $
135.88 $
2207.79 $
144.31 $
56.68 $
1288.66 $
Implant
192.13 $
wafer in
PWW-HF-SO4
PWW-UPW-recycling
model parameter
0.00 $
cleanroom air
0.00 $
cleanroom air
1155.33 $
119.06 $
general exhaust
355.07 $
7.15 $
solvent exhaust
1666.29 $
28.52 $
918.59 $
0.00 $
6660.87 $
15.58 $
953.58 $
0.00 $
6025.12 $
0.00 $
347.43 $
125.35 $
158.21 $
0.00 $
cleanroom air
model parameter
Backend
disposal chemicals PR
disposal chemicals poly
PWW TMAH
PWW-UPW-recycling
2066.12 $
0.00 $
29.49 $
EP-208-3P
49.34 $
99.55 $
73.73 $
EP-220-1P
1586.42 $
UPW return
PCW return
model parameter
4986.70 $
Die Separation (Dicer/Grinder)
wafer in
cleanroom air
CDA
P-N2
2929.08 $
PCW supply
217.59 $
UPW supply
32.77 $
grinding disc
0.00 $
478.58 $
sawing blades
29.15 $
PCW return
Transport System
1.90 $
25.30 $
25026.28 $
cleanroom air
EP-208-3P
wafer out
2.91 $
6.06 $
30.40 $
0.00 $
2.99 $
2.75 $
15.01 $
1.79 $
22.12 $
31.96 $
22.02 $
319.14 $
0.00 $
33.99 $
0.00 $
65.49 $
0.00 $
0.00 $
cleanroom air
general exhaust
PCW return
PWW dicing
PWW grinding
solid waste grinding disc
solid waste sawing blades
model parameter
wafer out
4.43 $
Die Attach and Wire Bonding
48.06 $
5.69 $
0.30 $
Dry Etch
cleanroom air
P-N2
HP-N2
PCW supply
UPW supply
1.13 $
4.81 $
wafer in
10.37 $
62.91 $
0.00 $
CDA
IPA
NH4OH-1
slurry A
slurry B
slurry C
EP-208-3P
EP-400-3P
EP-220-1P
0.84 $
29.70 $
306.19 $
68.86 $
257.49 $
20.25 $
0.25 $
1409.28 $
51.71 $
48.04 $
23.49 $
3.65 $
64.93 $
39.56 $
0.00 $
0.67 $
0.00 $
0.16 $
156.34 $
4012.38 $
23.67 $
1.38 $
391.45 $
0.07 $
202.22 $
3.87 $
3.84 $
0.00 $
wafer out
cleanroom air
toxic exhaust
die in
CMP
49.75 $
31.00 $
12.00 $
9.25 $
236.22 $
29.48 $
0.58 $
8.34 $
1017.02 $
5936.27 $
1278.40 $
7.16 $
91.80 $
0.00 $
cleanroom air
wafer in
CDA
9.54 $
0.00 $
18.13 $
12.95 $
30.61 $
0.00 $
56.09 $
193.96 $
81.60 $
0.00 $
0.00 $
leadframe
cleanroom air
general exhaust
bonding wire
caustic exhaust
EP-400-3P
PCW return
UPW return
molding press paste
Sputter
wafer in
model parameter
cleanroom air
CDA
P-N2
UHP-O2
UHP-N2
H2-N2
CF4
PCW supply
EP-208-3P
3.67 $
0.08 $
2.41 $
3.05 $
1.54 $
7.25 $
316.97 $
92.01 $
127.68 $
cleanroom air
CDA
0.00 $
12.31 $
3.70 $
50.21 $
0.00 $
UHP-Ar
cleanroom air
general exhaust
PCW return
model parameter
UHP-N2
HP-N2
Al-Cu targets
Ti-targets
0.00 $
wafer out
PCW supply
EP-208-3P
107.52 $
6.91 $
EP-400-3P
0.85 $
1.10 $
0.00 $
2262.88 $
0.00 $
3269.39 $
0.00 $
155.02 $
203.47 $
2.48 $
80.01 $
0.42 $
0.00 $
2.04 $
0.88 $
0.00 $
16.36 $
0.00 $
0.00 $
cleanroom air
0.00 $
cleanroom air
general exhaust
solid waste
molding press paste
chip
model parameter
Final testing
general exhaust
PCW return
solid waste Al-Cul targets
solid waste Ti targets
model parameter
CDA
L-N2
PCW supply
EP-208-3P
EP-400-3P
wafer out
model parameter
die out
19.62 $
0.00 $
0.00 $
2048.20 $
wafer in
0.01 $
chip
0.00 $
2.25 $
2.44 $
1.92 $
cleanroom air
die in
cleanroom air
wafer out
Asher
6.66 $
0.00 $
0.00 $
405.53 $
Packaging
PWW/CMP org
PWW/CMP inorg
PWW-HF-SO4
model parameter
CDA
UPW return
0.00 $
2.67 $
die out
general exhaust
PCW return
0.00 $
81.84 $
die in
0.00 $
223.33 $
103.85 $
238.73 $
274.49 $
0.00 $
191.23 $
580.61 $
cleanroom air
PCW return
model parameter
0.00 $
die out
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 37
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for all facilities
Facilities
Process Cooling Water System
(PCW)
PCW return
from production
9149.14 $
0.00 $
0.00 $
0.00 $
heat recovery PCW
of make up air system
0.00 $
0.00 $
EF-400V
exhaust
PCW supply
to production
PCW supply
cold water 18°C
return system 12/18
heat recovery PCW
to make-up air system
0.00 $
0.00 $
0.00 $
UPS-400V
472.76 $
natural gas
0.00 $
380.39 $
PCW return
cold water 12°C
supply system 12/18
Heating System
0.00 $
heat recovery
N2 generation
0.00 $
heat recovery - CDA
heating 25°C return system 55/25
0.00 $
heating 55°C return system 80/55
0.00 $
Compressed Dry Air System
(CDA)
0.00 $
exhaust
0.00 $
heat recovery
N2 generation
(heat difference)
0.00 $
heat recovery CDA
(heat difference)
heating 55°C supply system 55/25
heating 80°C supply system 80/55
0.00 $
0.00 $
0.00 $
EF-400V
supply air
0.00 $
0.00 $
3858.43 $
0.00 $
recooling 25° C supply 31/25
heat recovery CDA
(heat difference)
EF-400V
0.00 $
0.00 $
0.00 $
N2
recooling 31°C
return system 31/25
heat recovery - CDA
0.00 $
L-N2
recooling 25° C supply 31/25
0.00 $
heat recovery
N2 generation
(heat difference)
0.00 $
waste water
to cooling towers
cold water 11°C return 5/11
CW return system
(heat recovery)
recooling 31°C
return system 31/25
0.00 $
0.00 $
0.00 $
125.29 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
exhaust
waste water
cold water 5°C
supply system 5/11
cold water 12°C
supply system 12/18
recooling 25° C supply 31/25
EF-400V
3184.12 $
P-N2
UPW recycling
cold water 18°C
return system 12/18
heating 55°C supply system 55/25
EF-400V
19.64 $
UPW return
cold water 12°C
supply system 12/18
EF-400V
0.00 $
exhaust
0.00 $
0.00 $
3430.31 $
0.00 $
divergency
waste water
heating 25°C return system 55/25
2443.80 $
0.00 $
0.00 $
waste water
waste water
to cooling towers
0.00 $
0.00 $
0.00 $
29526.69 $
0.00 $
0.00 $
0.00 $
PWW /TMAH
PWW/HF-SO4
PWW/HF-SO4 free
PWW/HF-SO4
EF-400V
UPW hot return
heating 80°C supply system 80/55
heating 55°C supply system 55/25
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
exhaust
city water
PWW/CMP org
EF-400V
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
DI water supply
0.00 $
EXT
0.00 $
4000.91 $
exhaust
9280.53 $
DI water supply
0.00 $
PCW supply
0.00 $
1.73 $
0.00 $
0.00 $
0.00 $
PWW/HF-SO4
45.35 $
EXA
0.00 $
0.00 $
0.00 $
380.25 $
general exhaust
(mass)
waste water
EXA (heat)
PWW/HF-SO4
PCW return
69.35 $
39.82 $
L-Ar
0.00 $
EF-400V
0.00 $
recooling 25° C supply 31/25
0.00 $
exhaust
UHP-N2 to production
0.00 $
0.00 $
recooling 31°C
return system 31/25
0.00 $
EF-400V
exhaust
P-O2 (facilities)
He
UHP-O2 (facilities)
0.00 $
4815.04 $
exhaust
5005.80 $
0.00 $
EF-400V
UHP-O2 to production
UHP-He to production
PCW return
L-H2
exhaust
UHP-Ar
PCW return
0.00 $
1287.97 $
PCW supply
EF-400V
0.00 $
904.31 $
0.00 $
0.00 $
exhaust
UHP-H2 to production
H2 (facilities)
0.00 $
PCW return
city water
0.00 $
city water
Air Handling
Make-up Air System
Recirculation Air System
outside air
0.00 $
heat and water
difference
0.00 $
1329.73 $
21640.18 $
1013.79 $
11451.67 $
Electricity
Facilities (EF)
22919.30 $
0.00 $
0.00 $
0.00 $
UPS
exhaust
0.00 $
0.00 $
0.00 $
general exhaust
(heat)
general exhaust
(mass)
0.00 $
0.00 $
PWW/HF-SO4 free
cold water 5°C
supply system 5/11
0.00 $
cold water 12°C
supply system 12/18
0.00 $
heating 55°C supply system 55/25
0.00 $
heat recovery PCW
to make-up air system
0.00 $
0.00 $
104.24 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
gases
in cleanroom air
supply air
28.82 $
0.00 $
0.00 $
waste water
EP-208V-3P
EP-220V-1P
process vacuum
to general exhaust
EF-400V
0.00 $
0.00 $
Air Handling Support
0.00 $
cold water 18°C
return system 12/18
circulation air return
from production
heating 25°C return system 55/25
circulation air return
from support
heat recovery PCW
of make up air system
0.00 $
EF-400V
EF-6.6kV
outside air
excess heat and
water in outside air
exhaust
UPS-220V-1P
UPS-400V
supply system 12/18
heating 55°C supply system 55/25
EF-400V
cleanroom air
to production
202.50 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
EF-400V
cleanroom air
to vacuum
0.00 $
0.00 $
cleanroom air
to support
cold water 18°C
return system 12/18
circulation air
to production
circulation air
to support
0.00 $
0.00 $
0.00 $
circulation air
to production
0.00 $
0.00 $
0.00 $
Light and Transport
Air Handling Facilities
heat and water
difference
in outside air
967.36 $
0.00 $
0.00 $
circulation air return
from production
exhaust
EP-400V-3P
exhaust
EF-220V
0.00 $
cold water 12°C
supply system 12/18
exhaust
1.30 $
0.00 $
waste heat
cold water 11°C return 5/11
0.00 $
0.00 $
heat
0.00 $
cold water 12°C
UPW recycling
supply air
0.00 $
0.00 $
General Exhaust
general exhaust
6789.08 $
12380.49 $
0.00 $
0.00 $
Electrical Supply
0.00 $
0.00 $
in outside air
DI water supply
EF-400V
EF-400V
0.00 $
303.38 $
0.14 $
0.00 $
PCW supply
excess heat and
water in outside air
0.00 $
1329.73 $
EXA (mass)
electricity 20kV
recooling 31°C
return system 31/25
heat recovery
N2 generation
0.00 $
1803.86 $
0.00 $
UHP-H2
general exhaust
(heat)
0.00 $
exhaust
PWW/HF-SO4 free
0.00 $
EXA (mass)
0.00 $
0.00 $
natural gas
DI water supply
UPW hot supply
0.00 $
548.98 $
0.00 $
EF-400V
HP-N2
UHP-Ar
0.00 $
0.00 $
3883.67 $
P-O2 (facilities)
0.00 $
EF-400V
heating 55°C return system 80/55
heating 25°C return system 55/25
waste heat
(waste water)
PCW supply
842.32 $
3883.67 $
waste water
6708.71 $
0.00 $
0.00 $
general exhaust
(mass)
HP-N2
H2 (facilities)
UHP-O2 (facilities)
UHP-He
0.00 $
0.00 $
730.25 $
0.00 $
L-O2
P-N2
0.00 $
76.54 $
UPW supply
electricity 20kV
PWW/CMP inorg
1803.22 $
0.08 $
DI water supply
cold water 18°C
return system 12/18
0.00 $
0.00 $
P-N2 to production
HP-N2 to production
0.00 $
EF-400V
UPW recycling
PWW/UPW recycling
EXS
0.00 $
exhaust
Toxic Exhaust (EXT)
108.73 $
Electricity
Production (EP)
4537.25 $
general exhaust
(heat)
Acid Exhaust (EXA)
0.00 $
0.00 $
0.00 $
1454.08 $
EF-400V
City Water
0.00 $
UPW to UPW hot
UPW hot
1194.17 $
0.00 $
EXS facilities
109.74 $
UPW to UPW polishing
0.00 $
89.68 $
0.00 $
recooling 31°C
return system 31/25
EF-400V
PWW/HF-SO4 free
CW return system
(heat recovery)
0.00 $
0.00 $
Polishing
P-N2
heat difference raw water
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
Solvent Exhaust (EXS)
PWW/grinding
PWW/As
0.00 $
0.00 $
0.00 $
109.33 $
842.32 $
UHP-O2 and P-O2
0.00 $
EXC
576.19 $
DI water supply
PWW/dicing
Pretreatment
process waste water
final rinse
city water
recooling 25° C supply 31/25
EF-400V
exhaust
process vacuum
to general exhaust
PWW/HF-SO4
0.00 $
15336.64 $
0.00 $
0.00 $
EF-6.6kV
Caustic Exhaust (EXC)
0.00 $
1.30 $
1.30 $
0.00 $
Process Waste Water Treatment
(PWW)
Ultrapure Water System
(UPW)
raw water
cleanroom air
to vacuum 1.30 $
1349.13 $
0.00 $
EF-400V
Exhaust
Process Vacuum System
0.00 $
4734.58 $
EF-220V
Chiller System and
Cooling Towers
UHP-N2
HP-N2 and P-N2
exhaust
CDA
0.00 $
0.00 $
Bulk Gas Supply System
0.00 $
0.00 $
EF-400V
waste heat
0.00 $
Support
0.00 $
0.00 $
exhaust
0.00 $
0.00 $
0.00 $
cold water 18°C
return system 12/18
0.00 $
0.00 $
0.00 $
202.50 $
617.97 $
0.00 $
heating 25°C return system 55/25
0.00 $
general exhaust
(mass)
EXA (mass)
0.00 $
cleanroom air
to support
circulation air
to support
1803.22 $
0.00 $
0.00 $
0.00 $
EXC (mass)
EXT (mass)
EXS facilities
circulation air return
from0.00
support$
0.00 $
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 38
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for the complete production and support
Basic Supply Facilities
Facilities and Production
outside air
N2 (air)
L-N2
He
L-Ar
L-O2
H2
city water
raw water
Bulk Gases
Water
< Supply
448.25 $
205.82 $
2166.57 $
125.99 $
210.51 $
10.87 $
709.88 $
68.07 $
Supply Production
Chemicals
Specialty Gases
Other Material
BHF
BOE
C6H15BO3
EG
EKC
H2O2
H2SO4
H3PO4
HCl
HF
IPA
L-HP-N2
NH4OH-1
NH4OH-2
PGMEA
PGMEA-DUV
poly
PR-1
PR-2
PR-3
PR-4
PR-5
PR-DUV-1
PR-DUV-2
PR-DUV-3
QZ
slurry A
slurry B
slurry C
TEAS
TEOS
TEPO
TMAH-1
TMAH-2
AsH3
AsH3/H2
B2H6/N2
BCl3
BF3
C2F6
CH3F
C4H2F8
CF4
CH4
CHF3
Cl
CO
CO2
F2/Kr/Ne
H2/N2
HBr
HCl
He/O2
Kr/Ne
NF3
NH3
NO
PH3
PH3/H2
SF6
SiF4
SiH2Cl2
SiH4
WF6
Al-Cu targets
bonding wire
grinding disc
leadframe
molding press
sawing blades
Ti targets
328.57 $
328.57 $
300.94 $
1664.69 $
10813.08 $
2708.16 $
296.01 $
400.52 $
499.06 $
557.35 $
1211.50 $
103.85 $
8.34 $
494.56 $
125.35 $
347.43 $
25026.28 $
1586.42 $
1155.33 $
355.07 $
1666.29 $
918.59 $
6660.87 $
953.58 $
6025.12 $
2929.08 $
1017.02 $
5936.27 $
1278.40 $
2207.79 $
531.02 $
27.49 $
2066.12 $
4986.70 $
heat and water
excess (air)
natural gas
electricity 20kV
Exhaust and Waste Water
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
exhaust
waste water
heat and water difference
in outside air
heat difference in raw water
waste heat in waste water
additional transition
cleanroom air
compressed dry air
P-N2
HP-N2
UHP-N2
UHP-O2
UHP-Ar
UHP-He
UHP-H2
Water
3138.72 $
2090.35 $
518.55 $
8.89 $
PCW supply
UPW cold supply
UPW hot supply
city water
Energy
2984.29 $
244.38 $
2760.42 $
4.43 $
EP-208V-3P
EP-220V-1P
EP-400V-3P
UPS-240V-1P
Gases/Air Return
1022.98 $
378.43 $
18.08 $
753.86 $
7.43 $
3454.85 $
Water Return
1736.00 $
0.00 $
0.00 $
0.02 $
15.58 $
0.00 $
787.82 $
1637.50 $
56.09 $
193.96 $
0.00 $
22.12 $
22.02 $
1420.24 $
4.13 $
759.66 $
29.70 $
20.21 $
306.19 $
23.67 $
68.86 $
574.45 $
0.25 $
51.71 $
23.49 $
3.65 $
1.12 $
1.90 $
7.25 $
39.56 $
532.85 $
0.16 $
25.30 $
8744.74 $
88.29 $
501.33 $
869.19 $
3.04 $
4012.38 $
1.38 $
766.77 $
2127.63 $
50.07 $
2262.88 $
107.52 $
319.14 $
405.53 $
2048.20 $
33.99 $
3269.39 $
Energy
Gases/Air
895.38 $
Disposal Facilities
Facilities
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
cleanroom air
acid exhaust
caustic exhaust
general exhaust
solvent exhaust
toxic exhaust
PCW return
UPW hot return
UPW return
PWW/As
PWW/TMAH
PWW/UPW recycling
PWW/HF-SO4 free
PWW/HF-SO4
PWW/CMP org
PWW/CMP inorg
PWW/final rinse
PWW/dicing
PWW/grinding
Disposal
Production
chip
>
Disposal Production
Chemicals and Solid Waste
309.51 $
301.57 $
51.39 $
10.82 $
260.57 $
28.52 $
0.00 $
0.00 $
0.00 $
wafer in
0.00 $
wafer out
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
disposal chemicals EKC
disposal chemicals EG
disposal chemicals H2SO4
disposal chemicals H3PO4
disposal chemicals IPA
disposal chemicals PR
disposal chemicals poly
disposal chemicals HF/NH4F
solid waste Al-Cu targets
solid waste Ti targets
solid waste molding press paste
solid waste grinding disc
solid waste sawing blades
model parameter
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 39
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
Cost input for the complete production and support
Basic Supply Facilities
outside air
N2 (air)
Bulk Gases
L-N2
L-O2
L-Ar
Water
He
H2
city water
Energy
raw water
natural gas
E-20kV
heat and water excess
in outside air
Supply Production
Chemicals
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
BHF
BOE
C6H15BO3
EG
EKC
H2O2
H2SO4
H3PO4
HCl
HF
IPA
L-HP-N2
NH4OH-1
NH4OH-2
PGMEA
PGMEA-DUV
poly
PR-1
PR-2
PR-3
PR-4
PR-5
PR-DUV-1
PR-DUV-2
PR-DUV-3
QZ3501
slurry A
slurry B
slurry C
TEAS
TEOS
TEPO
TMAH-1
TMAH-2
Specialty Gases
AsH3
AsH3/H2
B2H6/N2
BF3
BCl3
C2F6
C4F8
CF4
CH3F
CH4
CHF3
Cl2
CO
CO2
F2-Kr-Ne
H2-N2
HBr
HCl
He-O2
Kr-Ne
NO
NF3
NH3
PH3
PH3-H2
Other Material
SF6
SiF4
SiH2Cl2
SiH4
WF6
Al-Cu targets
bonding wire
grinding disc
leadframe
molding press paste
sawing blades
Ti targets
General View
328.57 $
328.57 $
300.94 $
1664.69 $
10813.08 $
2708.16 $
296.01 $
400.52 $
532.85 $
557.35 $
1211.50 $
103.85 $
8.34 $
494.56 $
125.35 $
347.43 $
25026.28 $
1586.42 $
1155.33 $
355.07 $
1666.29 $
918.59 $
6660.87 $
953.58 $
6025.12 $
2929.08 $
1017.02 $
5936.27 $
1278.40 $
2207.79 $
531.02 $
27.49 $
2066.12 $
4986.70 $
Disposal Facilities
wafer in
0.00 $
Exhaust and Waste Water
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
exhaust
waste water
heat and water difference (air)
heat difference in raw water
waste heat (waste water)
Disposal Production
Chemicals and Solid Waste
301.57 $
309.51 $
51.39 $
10.82 $
0.00 $
260.57 $
0.00 $
28.52 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
0.00 $
1420.24 $
4.13 $
759.66 $
20.21 $
29.70 $
306.19 $
68.86 $
574.45 $
23.67 $
0.25 $
51.71 $
23.49 $
3.65 $
1.12 $
1.90 $
7.25 $
39.56 $
499.06 $
0.16 $
25.30 $
501.33 $
8744.74 $
88.29 $
869.19 $
3.04 $
4012.38 $
1.38 $
766.77 $
2127.63 $
50.07 $
disposal chemicals EG
disposal chemical EKC
disposal chemicals H2SO4
disposal chemicals H3PO3
disposal chemicals HF/NH4F
disposal chemicals IPA
disposal chemicals poly
disposal chemicals PR
solid waste Al-Cu targets
solid waste Ti targets
solid waste molding press paste
solid waste grinding disc
solid waste sawing blades
model parameter
0.00 $
wafer out
2262.88 $
107.52 $
319.14 $
405.53 $
2048.20 $
33.99 $
3269.39 $
E4 Technologies
T. Vonderstrass, E. Mueller ( M+W Zander)
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 40
9. OEE
9.6 OEE to OFE
Method for Overall Factory Efficiency Development
COO – cost of ownership
Output
Input
Gases/Air
Chemicals
Water
Energy
Wafer
Process
Module
Fixed costs
Operational costs
Equipment
Depreciation
Transport
Custom
Installation
Training
Floor Space
Interest
Material
Energy, Heat
Exhaust (air, gases)
Wastewater
Solid waste
Wafer
Yield costs
Whole Wafers
Defect Dies
Input (standby)
Input (production)
Output (standby)
Output (production)
Maintenance
Personnel
Testwafer
COO =
Lifetime
F$ + O$ + Y$
LxTxYxU
Throughput
Cost per good wafer
Composite yield
Integrated Circuit Manufacturing
Modul 1278
Utilization
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 41
Chapter 9 : Overall Equipment Efficiency OEE
Integrated Circuit Manufacturing
Modul 1278
Prof.Dr.W.Hansch, Dipl.-Ing.E.Schober
ICM, 9 - 42