Skript

Transcription

Skript
Inhaltsverzeichnis
1. Einleitung
1
2. Benötigte Grundlagen
2.1 Das Rechnen in dB
2.2 Beschreibungsformen von Frequenzabhängigkeiten
2.2.1 Beschreibung im Frequenzbereich
2.2.1.1 Bodediagramm
2.2.1.2 Ortskurven
2.2.2 Beschreibung im Zeitbereich
2.3 Lineares und nichtlineares Verhalten
2.4 Lineare und nichtlineare Verzerrungen
2.4.1 Lineare Verzerrungen
2.4.2 Nichtlineare Verzerrungen
2.4.2.1 Harmonische Verzerrungen
2.4.2.2 Intermodulation
2.5 Vierpole
2.5.1 Gesteuerte Quellen
2.5.2 Vierpolparameter
2.5.2.1 Die h-Parameter
2.5.2.2 Die y-Parameter
3
3
6
6
6
7
9
10
10
10
11
11
12
13
13
14
15
17
3. Grundlagen der Operationsverstärker
3.1 Gegenkopplung
3.2 Operationsverstärker
3.3 Schaltungstechnischer Aufbau von Operationsverstärkern
3.3.1 Standardarchitektur, Voltage Feedback Amplifier
3.3.1.1 Der Differenzverstärker
3.3.1.2 Der Stromspiegel
3.3.1.3 Die invertierende Grundschaltung mit dem VFA
3.3.2 Der Current Feedback Amplifier CFA
3.3.2.1 Architektur
3.3.2.2 Beschalteter CFA
3.4 Eigenschaften des Operationsverstärkers
3.5 Frequenzkompensation des Operationsverstärkers
3.6 Großsignalverhalten des Operationsverstärkers, Slew Rate
20
20
23
24
25
26
29
31
33
33
34
36
40
48
4. Datenblätter von Operationsverstärkern
4.1 Einleitung
4.2 Der µA741 von Texas Instruments
4.3 Der OPA623 von Burr Brown
56
56
56
65
i
5. Operationsverstärker: Invertierende und nichtinvertierende Grundschaltung
5.1 Invertierender Verstärker
5.1.1 Grundschaltung
5.1.2 Betrieb von Operationsverstärkern an nur einer Betriebsspannung
5.1.3 Der Transimpedanzverstärker (stromgesteuerte Spannungsquelle)
5.1.4 Die invertierende Grundschaltung als Konstantstromquelle
5.1.5 Die invertierende Schaltung mit Vierpolen
5.1.6 Die invertierende Schaltung mit beliebigen Bauelementen
5.2 Nichtinvertierende Grundschaltung, nichtinvertierender Verstärker
5.2.1 Grundschaltung
5.2.2 Nichtinvertierender Verstärker mit Vierpolen im Eingang und in der Rückkopplung
5.2.3 Nichtinvertierender Verstärker mit einem Current Feedback Amplifier
5.2.4 Nichtinvertierender Verstärker für Messungen im Nano- und Femtoamperebereich
72
72
72
79
79
82
85
86
90
90
95
96
97
6. Weitere Schaltungen mit Operationsverstärkern
6.1 Umkehraddierer
6.2 Subtrahierer und Subtrahierschaltungen
6.3 Integratoren
6.4 Differenzierer
6.5 Logarithmierer und Exponentialverstärker
6.6 Negative Impedance Converter (NIC)
6.7 Der Gyrator und der allgemeine Impedanzkonverter
6.8 Komparatoren und Schmitt-Trigger
101
101
102
108
117
122
129
135
140
7. Bipolar- und Feldeffekttransistoren: Arbeitspunkteinstellung
7.1 Funktionsweise von Bipolar- und Feldeffekttransistor
7.1.1 Die Funktionsweise des Bipolartransistors
7.1.2 Feldeffekttransistoren
7.1.2.1 Die Funktionsweise des Feldeffekttransistors
7.1.2.2 Bauweisen von Feldeffekttransistorstransistoren und deren Kennlinien
7.2 Arbeitspunkteinstellung
7.2.1 Der Ruhestrom machts: A-, AB- und B-Betrieb von Transistoren und
Feldeffekttransistoren
7.2.2 Arbeitspunkteinstellung bipolarer Transistoren
7.2.3 Arbeitspunkteinstellung von Feldeffekttransistoren
7.3 Widerstandsgerade und Ausgangskennlinienfeld
7.4 Rauschen
7.4.1 Widerstandsrauschen
7.4.2 Rauschquellen bei bipolaren Transistoren
7.4.3 Rauschzahl und Rauschmaß
7.4.4 Berechnung der Rauschzahl
149
149
149
151
151
152
156
157
158
164
170
178
178
179
181
182
8. Transistoren - Kleinsignalersatzschaltbild und Verstärkergrundschaltungen
8.1 Kleinsignalersatzschaltbilder von Bipolar- und Feldeffekttransistoren
8.1.1 Kleinsignalersatzschaltbilder des Bipolartransistors
8.1.2 Kleinsignalersatzschaltbild des Feldeffekttransistors
8.1.3 Gemeinsamkeiten beider Ersatzschaltbilder
8.2 Gewinnung des Kleinsignalersatzschaltbildes von Halbleiterschaltungen
8.3 Grundschaltungen von Bipolar- und Feldeffekttransistoren
8.3.1 Emitterschaltung und Sourceschaltung
8.3.1.1 Emitterschaltung und Sourceschaltung ohne Gegenkopplung
8.3.1.2 Emitterschaltung und Sourceschaltung mit Gegenkopplung
8.3.2 Kollektorschaltung und Drainschaltung
8.3.3 Basisschaltung und Gateschaltung
8.4 Der Feldeffekttransistor als steuerbarer Widerstand
186
186
186
192
193
194
196
196
196
202
213
222
231
ii
9. Leistungsendstufen
9.1 Grundlagen
9.2 Endstufenschaltungen
9.2.1 Eintaktendstufen
9.2.2 Gegentaktendstufen
9.3 D-Verstärker
9.4 Kühlung von Halbleiterbauelementen
9.5 Nachtrag: PMPO und RMS
240
240
243
244
251
262
267
269
10. Oszillatoren
10.1 Allgemeine Grundlagen
10.2 Sinusoszillatoren
10.2.1 Prinzip des rückgekoppelten Verstärkers
10.2.2 LC-Oszillatoren
10.2.2.1 Meißner-Oszillator
10.2.2.2 Dreipunktschaltungen
10.2.2.3 Parasitäre Schwingungen
10.2.2.4 Quarzoszillatoren
10.2.3 RC-Generatoren
10.2.3.1 Phasenschiebergenerator
10.2.3.2 Wien-Brücken-Oszillator
10.3 Funktionsgeneratoren
10.3.1 Rechteckgeneratoren
10.3.1.1 Der astabile Multivibrator
10.3.1.2 Rechteckgenerator mit Operationsverstärkern
10.3.2 Dreieckgeneratoren und Sägezahngeneratoren
273
273
274
274
284
284
289
293
294
299
299
303
305
305
306
309
312
11. Schaltverhalten von Dioden und Transistoren
11.1 Schaltverhalten von Dioden
11.2 Schaltverhalten von Bipolartransistoren
11.3 Schaltverhalten von Feldeffekttransistoren
11.4 Kühlung von Leistungshalbleitern
11.5 Safe Operating Area
317
317
319
323
328
331
12. Literatur
333
Anhang 1: Die Normwerte der Reihen E12 und E24
Anhang 2: Impedanz einer Kapazität als Funktion der Frequenz
Anhang 3: Impedanz einer Induktivität als Funktion der Frequenz
Anhang 4: Farbcode für Widerstände
334
334
334
335
iii
1. Einleitung
Das Gesicht der Elektronik hat sich gewandelt. Beherrschte bis in die Neunziger hinein die analoge
Elektronik das Feld, so hat die Digitaltechnik sich in der Zwischenzeit sehr viele Anwendungsgebiete
erobert. Und dies zu Recht. Dabei ist die Analogelektronik etwas in den Hintergrund getreten und
fristet im Weltbild vieler Zeitgenossen nur noch ein Schattendasein irgendwo in einer dunklen Ecke
des digitalen Hofes. Mitnichten. Mag der prozentuale Anteil analoger Elektronik in elektronischen
Geräten auch gesunken sein, die Anforderungen an die Analogtechnik sind jedoch gestiegen. Falls Sie
diese Zeilen auf einem Computerbildschirm lesen, so ist dies nur möglich durch den Einsatz
ultraschneller und präziser Analogtechnik. Versorgt werden ihr Bildschirm und ihr Computer von
Schaltnetzteilen, die sehr verlustarm aus der Netzspannung jede gewünschte Kleinspannung
erzeugen. Hören Sie dabei noch eine CD, so werden Sie mit Klirrfaktoren und Störabständen
konfrontiert, von denen unsere Altvorderen noch nicht einmal zu träumen wagten. Analoge Sensoren
nehmen die Signale von der CD auf und geben sie an eine digitale Auswertung weiter. Diese
Auswertung gelangt wiederum zu analogen Aktoren. So entsteht ein Regelkreis, der dafür sorgt, daß
selbst ein so filigranes Gebilde wie die Datenspur einer CD einwandfrei gelesen werden kann.
Dies sind nur einige von unzählbar vielen Beispielen für die erfolgreiche Messaillance analoger und
digitaler Komponenten. Die Energielektronik ist dabei noch garnicht erwähnt worden.
Ganz allgemein läßt sich sagen, daß der Entwurf analoger Schaltungen schwieriger ist als der Entwurf
digitaler Schaltungen. Die liegt einmal an der manchmal doch recht aufwendigen Mathematik und
außerdem am Fehlen von systematischen Entwurfswerkzeugen, die für den digitalen Bereich zur
Verfügung stehen. Hinzu kommt, daß im analogen Bereich scheinbare Nebensächlichkeiten wie das
Layout einer Leiterplatte durchaus eine Rolle spielen können, während Digitalschaltungen darauf
ausgelegt sind, eine Menge an „Schmutzeffekten“ wie Übersprechen und Leitungsreflektionen zu
ignorieren. Manchmal ist viel Erfahrung notwendig, um eine Schaltung ans Laufen zu kriegen.
Viele schreckt es natürlich ab, mit Dingen wie der Exponentialfunktion, Wellenwiderständen,
Frequenzgang etc. konfrontiert zu werden. Haben sie doch gerade mit Müh‘ und Not die Prüfung
geschafft und hoffen, für den Rest des Lebens von solch schwierigen Dingen verschont zu bleiben.
Andererseits kann es aber auch großen Spaß machen, allen Widrigkeiten der Naturgesetze zum Trotz
etwas zustande zu bringen, was auch hohen Qualitätsanforderungen standhält. Daß das geht, sehen
Sie gerade auf Ihrem Computerbildschirm....
Zum Inhalt der Vorlesung und damit dieses Skriptes. Der Schwerpunkt liegt im Bereich der
Operationsverstärker, ihrem Aufbau und ihrer Anwendung. Dabei wird auch auf die relativ neuen CFAArchitekturen eingegangen. Diese werden in den mir zugänglichen Quellen eher stiefmütterlich
behandelt, obwohl ohne sie moderne Elektronik undenkbar wäre. Die Transistorkapitel sind
ausführlicher gehalten, als es in der Vorlesung präsentiert wird. Dies hat einen einfachen Grund: Aus
Zeitgründen kann der Stoff nicht ausführlich behandelt werden. Beschäftigt man sich jedoch praktisch
mit der Analogelektronik, so kommt man auch heute noch an diskreten Transistoren und
Feldeffekttransistoren nicht vorbei. Deshalb wird das Wissen, welches man zum Entwurf von
Transistorschaltungen unbedingt benötigt, in diesem Skript präsentiert. Ich persönlich würde
beispielsweise auch heute noch einen Mikrofonvorverstärker mit einem Transistor und nicht mit einem
Operationsverstärker aufbauen. Die Schaltung ist einfach rauschärmer. Schaut man einmal in die
Operationsverstärker hinein, so findet man – Transistoren. Selbst wenn man am inneren Aufbau eines
OPs nicht interessiert ist, die Arbeitsweise der Schnittstellen zur Außenwelt, also die Eingänge und den
Ausgang) muß man verstanden haben. Überhaupt: High-Tech – in einer Branche, die mit
Zehntelpfennigen rechnet, werden vielfach noch ältere Schaltungskonzepte verwendet. Einfach
deshalb, weil sie billiger zu realisieren sind als High-Tech-Lösungen. Das tut einem Ingenieur zwar in
der Seele weh, denn er möchte doch so gerne den neuesten Chip einsetzen. Aber an den Gesetzen
des Marktes kommt keiner vorbei. Auch deshalb sind Kenntnisse aus dem Bereich der diskreten
Halbleiter auch heute noch notwendig.
1
Ein Grundlagenkapitel zu Beginn des Skriptes wiederholt einige wichtige Grundlagen, die zum
Verständnis des restlichen Stoffes notwendig sind. Wir finden weiterhin noch ein Kapitel über
Endstufen und eines über Oszillatoren. Das letztere wird überhaupt nicht in der Vorlesung behandelt,
enthält jedoch, aus vielen Quellen zusammengetragen, eine Einführung in die Schaltungstechnik von
Oszillatoren. Es ist aus dem alten Umdruck „Halbleiterschaltungstechnik“ entnommen und meines
Erachtens einfach zu schade um weggeworfen zu werden.
Am Ende vieler Kapitel sind Netzlisten aufgeführt. Mit Hilfe dieser Netzlisten kann man diejenigen
Schaltungen mit PSpice simulieren, für die im betreffenden Kapitel Simulationsergebnisse dargestellt
wurden. Obwohl die Repräsentation einer elektronischen Schaltung durch eine ASCII-Netzliste
eigentlich veraltet ist, habe ich mich zu dieser Darstellung entschlossen, da sie alle Informationen,
welche zur Simulation notwendig sind, enthält. Dies sind auch Steueranweisungen, die im Schaltbild
selbst nicht erscheinen. Das Schaltbild findet sich meistens im Kapitel wieder.
Dies führt uns zu einem weiteren wichtigen Punkt. Ein Analogsimulator ist heutzutage ein wichtiges
Werkzeug im Entwurfsprozeß. Und da bietet sich für unsere Zwecke PSpice an, da der Hersteller eine
Demo-Version zur Verfügung stellt, mit der man praktisch alles machen kann, was auch mit der
Vollversion möglich ist. Die Einschränkungen der Demo-Version betreffen hauptsächlich die Anzahl
der zu simulierenden Bauteile. Für die Lehre bedeutet dies jedoch zumeist keinen Nachteil.
Schaltungen, die dermaßen komplex sind, daß die Bauteileanzahl überschritten wird, kommen
praktisch nicht vor.
2
2. Benötigte Grundlagen
In diesem Kapitel werden wir einige Grundlagen wiederholen, die zum Verständnis des Stoffes der
Analogelektronik notwendig und nützlich sind.
2.1 Das Rechnen in dB
Wir betrachten einen beschalteten Vierpol gemäß Bild 2.1. Der Begriff des Vierpols wird weiter unten
behandelt werden. Hier interessiert nur, daß eine Signalquelle diesen Vierpol speist und der Vierpol
Leistung an einen Lastwiderstand R2 abgibt.
Ri
ue
~
u1
R1
Vierpol
u2
R2
Bild 2.1: Beschalteter Vierpol
Der Eingangswiderstand des Vierpols ist gleich R1. Der Vierpol nimmt eine Leistung P1 auf und gibt
eine Leistung P2 ab. Dann gilt für die Leistungsverstärkung vP
vP =
P2
P1
Vielfach gibt man statt des linearen Maßes ein logarithmisches Maß an. Für diese logarithmische Maß
gilt dann im Fall der Leistungsverstärkung
P 
v p / dB = 10 lg10  2 
 P1 
oder anders
P 
v p = 10 lg10  2  dB
 P1 
Der Begriff „dB“ bedeutet „Dezibel“ . Der Name „Bel“ leitet sich von Alexander Graham Bell, einem der
Erfinder des Telefons, her.
Die Leistungen P1 und P2 kann man auch durch die Spannungen an den Widerständen R1 und R2
ausdrücken, wobei U1 und U2 die Effektivwerte der Spannungen sind:
U12
P1 =
R1
P2 =
3
U22
R2
Somit erhalten wir für die Leistungsverstärkung
 U22 R 1 
 P2 


v p = 10 lg10   dB = 10 lg10 
* 2  dB
P
R
 1
 2 U1 
Dies läßt sich noch umformen:
U 
R 
v p = 20 lg10  2  dB + 10 * lg  1  dB
 U1 
 R2 
In vielen Anwendungen läßt man den letzten Term weg. Im Argument des ersten Logarithmus‘ stehen
jetzt nicht mehr die Leistungen, sondern die Spannungen. Man definiert das logarithmische Maß der
Spannungsverstärkung zu
U 
v u = 20 lg10  2  dB
 U1 
Jetzt müssen U1 und U2 auch nicht mehr die Effektivwerte sein, sondern können auch die Spitzenwerte (Amplituden) sein. Man läßt bei der üblichen Schreibweise auch die „10“ bei lg10 weg.
Ganz wichtig in diesem Zusammenhang ist, daß man im Argument des Logarithmus eine reine Zahl
stehen hat und keine Spannung, denn der Logarithmus von 1 Volt existiert nicht.
Wenn man solche Zahlenwerte in dB angibt, spricht man vielfach auch vom „Pegel“. Nehmen wir
einmal an, unser Vierpol sei ein Verstärker mit dem Verstärkungsfaktor 100. Legen wir an den Eingang
ein Signal von 1mV an, so messen wir am Ausgang eine Amplitude von 100mV. Legen wir an den
Eingang eine Amplitude von 10mV an, so liefert der Verstärker am Ausgang ein Signal mit einer
Amplitude von 1V. Das Verhältnis ist immer das gleiche. Für die Spannungsverstärkung gilt dann
 100mV 
 dB = 20 * lg (100 ) dB = 20 * 2dB = 40dB
v u = 20 lg 
1
mV


Zu dem gleichen Ergebnis gelangt man, wenn man das Zahlenpaar 1V/10mV einsetzt. Es handelt sich
hier um einen relativen Pegel.
Zum absoluten Pegel gelangt man, wenn man beispielsweise für die Spannung U1 einen bestimmten
Zahlenwert einsetzt. Der Wert von U1 = 0.775 Volt (Effektivwert) wird häufig in der Nachrichtentechnik
verwendet. Eine Spannung mit einem Effektivwert von 0.775 Volt an einem Widerstand von 600Ω
erzeugt eine Leistung von einem Milliwatt. Der doppelte Wert von 1.55 Volt findet in der Tonstudiotechnik Anwendung, man nennt ihn auch „Funkhauspegel“.
Wozu aber das ganze logatirhmische Rechnen? Nun, der Vorteil liegt darin, daß beim Logarithmieren
aus einer Multiplikation (Division) eine Addition (Subtraktion) wird. Dies ist dann interessant, wenn man
zwei Vierpole hintereinander schaltet.
Nehmen wir einmal an, wir schalten zwei Verstärker mit je einer Spannungsverstärkung von 100
hintereinander. Dann ist die Gesamtverstärkung gleich dem Produkt der Einzelverstärkungen, somit
100*100=10000. Im logarithmischen Maß finden wir
v uges = v u1 + v u2 = 40dB + 40dB = 80dB
4
Mit einfachen Zahlen wie 100 geht auch die Multiplikation einfach, schwieriger wird es, wenn die
Verstärkungsfaktoren beliebige Zahlen sind. Dann ist eine Addition einfacher als eine Multiplikation.
Ein Gefühl für die logarithmischen Werte entwickelt sich schnell.
Noch wichtiger wird die Logarithmierung, wenn wir einen Frequenzgang betrachten. Nehmen wir
einmal an, daß unser Verstärker eine frequenzabhängige Verstärkung ausweist:
v = v (ω)
Manchmal interessiert beim Frequenzgang nicht unbedingt der Absolutwert der Verstärkung, sondern
man will wissen, bei welcher Frequenz die Verstärkung auf einen bestimmten Wert abgesunken ist.
Nehmen wir einmal an, daß die Verstärkung bei f=0 maximal ist. Dann ist der relative Frequenzgang
des Verstärkers
f (ω) =
v (ω) v (ω)
* e j(ϕ(ω )−ϕ(0 ))
=
v (0 ) v (0 )
Hier im linearen Maß erhält man den Phasenverlauf durch die Subtraktion zweier Winkel. Der
Amplitudenverlauf wird durch eine Division dargestellt. Für den Amplitudenverlauf im logarithmischen
Maß erhalten wir
 v (ω) 
 dB
f (ω) = 20 log 

 v (0 ) 
Viele Schaltungen weisen ganz charakteristische Frequenzgänge auf. Schalten wir nun zwei
frequenzabhängige Vierpole hintereinander, so gilt für den resultierenden Amplitudenverlauf in
logarithmischer Darstellung
f ges (ω) = f1 (ω) + f 2 (ω)
Bei der Berechnung des Frequenzganges werden also keine Zahlen mehr miteinander multipliziert
sondern addiert. Zeichnet man die Frequenzgänge auf, so addieren sich die Kurven der Einzelfrequenzgänge. Man erhält den resultierenden Frequenzgang also durch eine einfache Addition von
Strecken auf einem Blatt Papier. Dies ist eine sehr wichtige Anwendung der logarithmischen
Darstellung, wir werden dies beim Bodediagramm kennenlernen werden.
Im Zeitalter der Computersimulation hat eine logarithmische Darstellung scheinbar an Bedeutung
verloren. Ein Computer zeichnet beliebig komplizierte Kurven auf einem Bildschirm auf, ihm ist es egal,
ob es sich um Summen oder Produkte handelt. Doch nicht immer ist ein Computer verfügbar und
manchmal will man Frequenzgänge nur skizzieren. Und dann ist eine logarithmische Darstellung von
Vorteil. Hinzu kommt, daß die Frequenzgänge einfacher Schaltungen im logarithmischen Maßstab
aussagekräftiger sind als im linearen Maßstab. Wir werden dies beim Tiefpaß noch sehen.
5
2.2 Beschreibungsformen von Frequenzabhängigkeiten
Die Frequenzabhängigkeit einer elektronischen Schaltung kann man auf zwei verschiedene Arten und
Weisen darstellen: Einmal im Frequenzbereich und einmal im Zeitbereich. Beide Darstellungsarten
lassen sich durch die Laplacetransformation bzw. inverse Laplacetransformation ineinander
überführen. Welche Darstellungsweise man wählt, hängt vom jeweiligen Zweck ab.
2.2.1 Beschreibung im Frequenzbereich
Zur Beschreibung von linearen elektronischen Schaltungen eignet sich die Darstellung des Frequenzganges sehr gut. Bei Zweipolen wird daher im allgemeinen die Abhängigkeit der Impedanz Z(ω) oder
der Admittanz Y(ω) von der Frequenz dargestellt, bei Vierpolen hingegen interessiert das Übertragungsverhalten uaus/uein als Funktion der Frequenz. Dafür haben sich zwei Darstellungsformen als
besonders praktisch erwiesen, das Bodediagramm und die Ortskurve. Beide Darstellungen sind nur
für lineare Schaltungen definiert.
2.2.1.1 Bodediagramm
Das Bodediagramm besteht aus zwei Kurven, dem Betrags- oder Amplitudenverlauf und dem
Phasenverlauf entsprechend der Polardarstellung für komplexe Zahlen:
Z = Z * e jϕ
Erst beide Kurven zusammen ergeben die vollständige Beschreibung einer Schaltung.
Betrags- oder Amplitudenverlauf:
Man stellt den Logarithmus des Betrages Z(ω)/Ω oder einer Amplitude U/V über den Logarithmus der Frequenz dar. Statt Betrag oder Amplitude wählt man oft auch ein Betragsverhältnis,
z. B. Z(ω)/Z(0) oder ein Amplitudenverhältnis Uaus/Uein und trägt dies dann in dB auf.
Phasenverlauf:
Es wird der Phasenwinkel ϕ(ω) linear über den Logarithmus der Frequenz aufgetragen. Der
Phasenwinkel kann beispielsweise der Winkel zwischen Strom und Spannung eines Zweipols
sein oder die Phasenverschiebung zwischen Eingangsspannung und Ausgangsspannung
eines Vierpols.
Schaltet man zwei Vierpole hintereinander, so finden wir sowohl beim Amplituden- wie auch beim
Phasengang die Rechenoperationen „Addition“ und „Subtraktion“. Dies bedeutet bei der zeichnerischen Darstellung, daß Strecken auf einem Blatt Papier addiert bzw. subtrahiert werden.
Als Beispielschaltung für die Darstellung des Bodediagramms dient ein einfacher RC-Tiefpaß,
bestehend aus einem Widerstand und einem Kondensator. Für das Verhältnis von Ausgangsspannung
u2 zu Eingangsspannung u1 gilt bei dieser Schaltung:
1
u2
j ωC
1
1 − jωRC
1
=
=
=
=
* e − j arctan ωRC
2 2 2
2
2
2
1
u1 R +
1 + jωRC 1 + ω R C
1+ ω R C
jωC
6
R
u1
C
u2
Bild 2.2: RC-Tiefpaß
Das Bodediagramm des Tiefpasses zeigt Bild 2.3 Bei der Kreisfrequenz ω = 1/RC ist der Betrag von
u2/u1 auf den Wert 1/√2, also 0,707 gesunken. Der Logarithmus von 0,707 ist -0,1505, die Abschwächung beträgt somit 20*(-0,1505)= -3 dB. Die Phasenverschiebung bei dieser Frequenz ist exakt -45°.
Man vereinfacht das Bodediagramm des Tiefpasses derart, daß man nur zwei Geraden darstellt: Die
eine Gerade auf der ω-Achse von ω = 0 bis ω = 1/RC und die zweite Gerade von ω = 1/RC bis ω = ∞
mit einem Abfall von -20 dB pro Dekade bzw. -6 dB pro Oktave. Ebenfalls in Bild 2.3 wiedergegeben ist
der wirkliche Verlauf des Amplitudenverhältnisses. Man erkennt, daß die Näherung durch die beiden
Geraden für zeichnerische Verhältnisse hinreichend genau ist. Der Phasengang des Tiefpasses ist
derart, daß bei ω = 0 eine Phasenverschiebung von 0° vorliegt, diese bei ω = 1/RC auf - 45° abgefallen
ist und für ω gegen ∞ asymptotisch gegen - 90° geht.
Simulation eines Tiefpasses R = 1KΩ, C = 1µF
0
- 20
- 40
20 * log10 (v(aus)/v(ein))
0d
- 45 Grad
- 50 d
159 Hz
- 100 d
10h
30h
P(v(aus)/v(ein))
100h
300h
1k
3k
10k
Frequency
Bild 2.3: Bodediagramm eines Tiefpasses erster Ordnung (PSpice-Simulation)
2.2.1.2 Ortskurven
Mit Hilfe von Ortskurven beschreibt man das Verhalten elektronischer Schaltungen in der komplexen
Ebene. Benutzt man beim Bodediagramm die Schreibweise in Polarform Z=Z*ejϕ, so wird hier Z als
Re{Z}+jIm{Z} dargestellt. Die Frequenz oder Kreisfrequenz erscheint hier nicht mehr explizit, sondern
als Parametrisierung. Darstellbar ist hier der Verlauf einer Impedanz oder einer Ausgangsspannung,
jedoch auch ein Verhältnis von Impedanzen oder Spannungen. Die Spitze des Zeigers von Impedanz
oder Spannung in der komplexen Ebene beschreibt in Abhängigkeit von der Frequenz die Ortskurve.
Die Verfahren zur Konstruktion von Ortskurven soll hier nicht weiter behandelt werden. Als Beispiel
dient auch hier wieder der RC-Tiefpaß:
7
1
u2
1
1 − jωRC
jωC
=
=
=
u1 R + 1
1 + jωRC 1 + ω2R 2C 2
jωC
u2
1
ωRC
=
−j
2 2 2
u1 1 + ω R C
1 + ω2R 2C 2
Die Ortskurve des Verhältnisses v von Ausgangsspannung zu Eingangsspannung ist ein Halbkreis in
der komplexen Ebene. Bild 2.4 zeigt die PSpice-Simulation eines RC-Tiefpasses für R=1kΩ und
C=1µF. Durch die Anpassung an das Standardformat für Simulationen im Skript ist die Darstellung
leicht verzerrt. Die Spannung am Eingang ist 1 Volt. Für ω = 0 ist die Ausgangsspannung gleich der
Eingangsspannung, deren Verhältnis also gleich 1. Die Phasenverschiebung beträgt 0°. Für ω = 0 hat
der Zeiger somit die Länge 1 und liegt auf der reellen Achse. Für ω = 1/RC ist die Ausgangsspannung
gleich dem 1/√2-fachen der Eingangsspannung, die Phasenverschiebung beträgt -45°. Die Länge (der
Betrag) des komplexen Zeigers ist daher 0.707. Realteil und Imaginärteil der Spannungsverhältnisses
sind beide gleich 0.5. Für ω gegen ∞ ist die Ausgangsspannung gleich 0, die Phasenverschiebung
beträgt -90 Grad.
Simulation eines Tiefpasses
200mV
0V
45°
-200mV
62.09 Hertz
361 Hertz
-400mV
159.18 Hertz
f=1/2πRC
-600mV
0V
0.2V
IMG(V(AUS))
0.4V
0.6V
0.8V
1.0V
R (V(AUS))
Bild 2.4: Ortskurve des RC-Tiefpasses mit R=1kΩ und C=1µF (PSpice-Simulation, editiert und
verzerrt)
Der Zeiger des Spannungsverhältnisses durchläuft - wie im Bild durch drei Frequenzpunkte angedeutet - den Halbkreis von rechts nach links. Die Kreisfrequenz ω erscheint in der Ortskurve nicht an einer
der Achsen, sondern als Parameter.
8
2.2.2 Beschreibung im Zeitbereich
Vielfach ist es anschaulicher, eine Schaltung nach der Art und Weise zu charakterisieren, wie sie die
Kurvenform eines Eingangssignals beeinflußt. Man stellt dann Eingangssignal und Ausgangssignal als
Funktion der Zeit dar (Bild 2.5). Während die Darstellungsformen im Frequenzbereich nur für lineare
Schaltungen anwendbar sind, kann man im Zeitbereich beliebige Schaltungen betrachten.
Differenzierglied
(Hochpaß)
Uein(t)
Uaus(t)
Bild 2.5: Veränderung der Kurvenform durch eine Schaltung
Weitere Beispiele sind Integrierer, Verstärker mit linearen Verzerrungen (Überschwingen, Abflachen
von Anstiegsflanken etc.), Impulsformer wie Komparator, Schmitt-Trigger und Begrenzer, Sample and
Hold-Schaltungen und vieles mehr. Selbstverständlich besteht bei linearen Schaltungen eine strikte
Gesetzmäßigkeit zwischen Frequenzgang einerseits und Beeinflussung der Signalformen andererseits. Man wählt die Darstellungsart dann nach dem Gesichtspunkt der Zweckmäßigkeit.
Bei linearen Schaltungen legt man als Eingangssignale zumeist Rechtecksignale oder Nadelimpulse
(Dirac-Stöße) an. Bei nichtlinearen Schaltungen ist die Form der Signale oft von der Funktion der
Schaltung vorgegeben (z. B. Diodennetzwerk zur Umwandlung einer Dreieckspannung in eine angenäherte Sinusspannung). Im Schaltbild eines Fernsehgerätes findet man beispielsweise viele
Oszillogramme, die für den Fall gelten, daß mit einem Bildmustergenerator ein bestimmtes Testbild
eingespeist wird.
Sehr häufig findet man die Darstellung von Signalen im Zeitbereich bei komplexen digitalen Schaltungen mit komplizierten Verhalten (Zähler, hochintegrierte Bausteine wie CPU, DMA-Controller). Das
folgende Bild 2.6 zeigt - nicht ganz so kompliziert - den Signalverlauf an Ein- und Ausgängen eines
UND-Gatters mit zwei Eingängen und einer Signalverzögerung (Delay) von 2 nsec.
IN1
1
0
IN1
IN2
&
1
OUT
0
IN2
OUT
1
0
2 ns
Bild 2.6: Signalverlauf an einem UND-Gatter
9
2.3 Lineares und nichtlineares Verhalten
Viele Schaltungen der Analogtechnik sind lineare Schaltungen. Man versteht darunter Schaltungen,
deren Ausgangsgröße (z. B. Spannung, Strom, Frequenz) gleich der Eingangsgröße (z. B. Strom,
Spannung, Frequenz) multipliziert mit einem konstanten Faktor ist. Sie werden hauptsächlich als Verstärker (Vorverstärker, Endverstärker, Buffer, Meßverstärker etc.) in der Audio-, Video-, Meß- und
Regeltechnik eingesetzt. Da als aktive Elemente in diesen Schaltungen nichtlineare Bauelemente wie
Transistoren oder Feldeffekttransistoren eingesetzt werden, ist ein erheblicher Aufwand zur Linearisierung des Schaltungsverhaltens notwendig. Das Mittel der Wahl ist hier die Gegenkopplung. Trotzdem sind lineare Schaltungen strenggenommen nur annähernd linear und dies auch nur in einem
begrenzten Bereich der Eingangsgröße.
Lineare Schaltungen erfüllen folgende zwei Kriterien:
1.
Es gilt das Superpositionsprinzip: Legt man gleichzeitig zwei beliebige Signalfunktionen f1(t)
und f2(t) an die Eingänge der Schaltung an, so muß am Ausgang die Summe der Einzelantworten g1(t) und g2(t) erscheinen.
2.
Die Multiplikation des Eingangssignals f(t) mit einem Faktor k muß zu einem Ausgangssignal
k*g(t) führen.
Viel verwendete nichtlineare Analogschaltungen sind Analogmultiplizierer, Mischer
Komparatoren und Schmitt-Trigger. Sämtliche Schaltungen der Digitaltechnik sind nichtlinear.
sowie
2.4 Lineare und nichtlineare Verzerrungen
2.4.1 Lineare Verzerrungen
Fast jede elektronische Schaltung weist einen Frequenzgang auf. Führt man einer solchen Schaltung
ein Signal zu, welches aus Anteilen verschiedener Frequenzen besteht, so wird jeder Anteil am Ausgang unterschiedlich wiedergegeben. Als Beispiel sei hier wieder der Tiefpaß erwähnt. Legt man eine
Rechteckspannung an den Eingang dieses Tiefpasses, so wird die Flankensteilheit am Ausgang
wesentlich kleiner sein als am Eingang, da die Flankensteilheit durch die Anteile der Eingangsspannung mit hoher Frequenz vergrößert wird. Das Signal wird verzerrt, obwohl der Tiefpaß selbst
eine lineare Schaltung ist. Speist man daher ein sinusförmiges Eingangssignal ein, so ist das
Ausgangssignal ebenfalls sinusförmig. Der Klirrfaktor (s. u.) ist gleich Null, da keine Oberwellen
entstehen. Dies ist typisch für alle linearen Schaltungen: Die Kurvenform des sinusförmigen
Eingangssignals erscheint am Ausgang wieder, es entstehen keine Oberwellen.
R
uein
C
uaus
Bild 2.7: Eingangs- und Ausgangsspannung eines Tiefpasses
Lineare Verzerrungen lassen sich durch Entzerrung korrigieren. Ein Verstärker mit obigem Tiefpaßverhalten kann durch einen Hochpaß erweitert werden, welcher die hohen Frequenzen stärker passieren
läßt als niedrige und damit die Signalform regeneriert. Weitere Beispiele sind der Entzerrervorverstärker für magnetische Tonabnehmer und Equalizer.
Für das Ohr äußern sich lineare Verzerrungen als Überbetonung eines bestimmten Frequenzbereiches: Töne klingen zu dumpf oder zu schrill.
10
2.4.2 Nichtlineare Verzerrungen
Nichtlineare Verzerrungen entstehen durch Nichtlinearitäten in einer Schaltung. Man unterscheidet
zwischen harmonischen und nichtharmonischen Verzerrungen, von letzeren wird hier aber nur der
Begriff der Intermodulation erklärt.
2.4.2.1 Harmonische Verzerrungen
Führt man einer nichtlinearen Schaltung eine sinusförmige Spannung
u ein ( t ) = Umax * sin(ωt )
am Eingang zu, so lautet die Ausgangsspannung allgemein
u aus (t ) = U0 + U1 * sin(ωt + ϕ1 ) + U2 * sin(2ωt + ϕ 2 ) + .... + Un * sin(nωt + ϕ n )
Es treten somit der Gleichanteil U0 sowie die ganzzahligen Vielfachen (2f, 3f,...nf) der Grundfrequenz f
auf. Musikalisch ist dies jeweils eine Oktave, welche sich harmonisch anhört. Deshalb nennt man
diese Verzerrungen auch "harmonische" Verzerrungen, obwohl sie selbstverständlich im allgemeinen
unerwünscht sind. Die Harmonischen führen zu einer Verzerrung des sinusförmigen Signals.
Als Klirrfaktor k versteht man nun den Quotienten aus dem Effektivwert der unerwünschten Oberwellen und dem Effektivwert von Grundwelle und Oberwellen:
U22 + U23 + ... + Un2
k=
* 100%
U12 + U22 + U23 + ... + Un2
Dabei ist U1 die Amplitude der Grundwelle, also der erwünschten Spannung, und U2 bis Un die Amplituden der unerwünschten Oberwellen. Diese Oberwellen lassen sich im allgemeinen nicht ausfiltern,
da einige Oberwellen durchaus noch in dem Frequenzbereich liegen können, der verstärkt werden soll.
Eine Ausnahme bilden Senderendstufen: Man steuert die Endstufe mit Impulsen aus, um einen möglichst hohen Wirkungsgrad zu erzielen und filtert alle Oberwellen auf dem Weg zur Antenne hin aus.
Hier liegt allerdings auch der Fall vor, daß man nur einen äußerst eingeschränkten Frequenzbereich
übertragen will.
Im angelsächsischen Kulturkreis ist der Begriff "THD", "Total Harmonic Distortion" üblich, wir finden
diesen Begriff bei der Fourieranalyse des Simulators PSpice wieder. Es gilt:
THD =
U22 + U32 + ... + Un2
U1
Es gelten folgende Umrechnungen:
k = THD *
THD = k *
U1
U + U + U32 + ... + Un2
2
1
2
2
U12 + U22 + U32 + ... + Un2
U1
11
* 100%
Als Beispiel ist hier die Fourieranalyse einer Rechteckschwingung mit einer Amplitude von 1 Volt und
einer Frequenz von 1kHz wiedergegeben. Anstiegs- und Abfallzeiten sind jeweils 1 Nanosekunde:
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(AUS)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
6
7
8
9
5.001000E-01
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
6.000E+03
7.000E+03
8.000E+03
9.000E+03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
6.366E-01
2.000E-04
2.122E-01
2.000E-04
1.273E-01
2.000E-04
9.095E-02
2.000E-04
7.074E-02
1.000E+00
3.142E-04
3.333E-01
3.142E-04
2.000E-01
3.142E-04
1.429E-01
3.142E-04
1.111E-01
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
-1.620E-01
9.000E+01
-4.860E-01
9.000E+01
-8.100E-01
9.000E+01
-1.134E+00
9.000E+01
-1.458E+00
NORMALIZED
PHASE (DEG)
0.000E+00
9.016E+01
-3.240E-01
9.016E+01
-6.480E-01
9.016E+01
-9.720E-01
9.016E+01
-1.296E+00
4.288015E+01 PERCENT
Man findet einen Gleichanteil von 0.5V sowie alle ungeradzahligen Oberwellen (3kHz, 5kHz..9KHz) mit
dem jeweiligen Anteil 1/3, 1/5, 1/7 und 1/9. PSpice gibt auch einen gewissen Anteil von geradzahligen
Oberwellen aus, der theoretisch nicht vorhanden sein dürfte. Dies ist auf numerisches Rauschen
zurückzuführen und auf die Tatsache, daß Anstiegs- und Abfallzeit nicht unendlich klein sind.
Der Faktor THD beträgt 42.88 Prozent. Dies entspricht einem Klirrfaktor von 40.534 Prozent. Dies ist
ein kleiner Trost für die Verstärkerbauer unter uns: Selbst wenn wir einen Verstärker konstruieren, der
ein Sinussignal völlig verzerrt als Rechtecksignal wiedergibt, beträgt der Klirrfaktor lediglich knapp 41
Prozent.
2.4.2.2 Intermodulation
Führt man einem nichtlinearen Verstärker zwei Signale mit den Frequenzen f1 und f2 zu, so findet man
am Ausgang Spektralanteile mit den Frequenzen f1-f2, f1+f2, 2f1-f2, 2f1+f2, f1-2f2, f1+2f2 usw.
Diesen Effekt bezeichnet man als „Intermodulation". Diese Anteile sind nichtharmonisch, da die
Tonintervalle keine Oktaven mehr sind.
In Überlagerungsempfängern macht sich diese Intermodulation zu Nutze: Man führt ein hochfrequentes Signal (die Frequenz eines Senders) und ein Oszillatorsignal einer Mischstufe zu und entnimmt
dieser Stufe das Signal fSender-fOszillator. Diese Differenzfrequenz ist - wenn der Empfänger richtig
abgeglichen ist - konstant und unabhängig von fSender, da die Oszillatorfrequenz synchron mit der
Senderfrequenz variiert wird. Die Differenzfrequenz wird "Zwischenfrequenz" genannt und einem
Zwischenfrequenzverstärker zugeführt, der speziell auf diese Zwischenfrequenz abgeglichen und
optimiert ist. Ist der Empfänger nicht trennscharf genug, so kann ein starker Sender so starke Mischprodukte erzeugen, daß der Sender bei verschiedenen Frequenzen empfangen werden kann. Dies
nennt man „Kreuzmodulation“.
Da die aktiven elektronischen Bauelemente allesamt nichtlinear sind, kann man einen (fast) linearen
Betrieb nur bei kleinen Signalamplituden verwirklichen. Die linearisierten Vierpolkoeffizienten dieser
Bauelemente gelten nur für einen kleinen Aussteuerbereich. Durch Gegenkopplung läßt sich dieser
12
Bereich vergrößern. Schaltungen der Digitaltechnik sind nichtlinear. Sie liefern Ausgangsspannungsverläufe, die kein Abbild der Eingangsspannung sind. Dies ist in vielen Fällen auch nicht erwünscht, da
man eine Regenerierung der Signalpegel (Anstiegsflanken, Überschwingen, Pegeleinbrüche durch
Leitungsreflektionen etc.) in der Schaltung anstrebt.
2.5 Vierpole
Die folgenden Ausführungen ersetzen keinesfalls eine Einführung in die Vierpoltheorie, sondern sie
geben nur diejenigen Aspekte wieder, die in dieser Vorlesung benötigt werden.
2.5.1 Gesteuerte Quellen
Bild 2.8 zeigt die vier üblichen Formen gesteuerter Quellen, wie sie in der Symbolbibliothek von PSpice
enthalten sind sowie die allgemeine Darstellung.
~
i = g * uSteu
i = ß * iSteu
u = r * iSteu
u = v * uSteu
Bild 2.8: Gesteuerte Quellen: Oben: PSpice-Darstellung, unten: Allgemeine Darstellung
Bei gesteuerten Quellen wird die Ausgangsspannung bzw. der Ausgangsstrom von einer Steuergröße
bestimmt. Dies ist im allgemeinen eine Spannung oder ein Strom. Man unterscheidet:
- Spannungsgesteuerte Spannungsquelle, Voltage Controlled Voltage Source, VCVS, "E"
- Stromgesteuerte Stromquelle, Current Controlled Current Source, CCCS, "F"
- Spannungsgesteuerte Stromquelle, Voltage Controlled Current Source, VCCS, "G"
- Stromgesteuerte Spannungsquelle, Current Controlled Voltage Source, CCVS, "H"
Gesteuerte Quellen sind Modelle, die einen physikalischen Vorgang repräsentieren. Dazu ein Beispiel:
Beim Bipolartransistor steuert der Basisstrom den Kollektorstrom. Die Beschreibung in der
Halbleiterphysik ist recht kompliziert und würde viele Berechnungen der Analogelektronik nur unnötig
erschweren. Deshalb ersetzt man den Bipolartransistor in vielen Berechnungen durch eine gesteuerte
Quelle (und einen Widerstand, der hier aber nicht betrachtet wird):
iC = ß * iB
Dabei ist ß die Wechselstromverstärkung und konstant. Wir beschreiben also das nichtlineare,
komplizierte Bauelement „Bipolartransistor“ durch ein lineares Ersatzschaltbild. Dadurch kann man alle
Methoden der linearen Netzwerktheorie auf Transistorschaltungen anwenden. Daß eine solche
vereinfachte Beschreibung irgendwann an ihre Grenzen stößt, sollte dabei allerdings klar sein.
13
Nur der Vollständigkeit halber sei erwähnt, daß man auch nichtlineare gesteuerte Quellen verwendet
(Ebers-Moll-Modell etc.). Diese sollen hier jedoch nicht weiter betrachtet werden.
2.5.2 Vierpolparameter
Ein Vierpol ist ein Netzwerk mit zwei ausgezeichneten Klemmenpaaren (Bild 2.9). Die Zuordnung der
Ströme ist derart, daß in den Vierpol hineinfließende Ströme positiv gezählt werden. Die Charakterisierung des Vierpoles erfolgt über sein Klemmenverhalten, der genaue innere Aufbau interessiert
nicht. Die charakteristischen Größen für den Vierpol nennt man "Vierpolparameter". Es gibt unterschiedliche Sätze von Vierpolparametern, hier sollen jedoch nur die h-Parameter und die y-Parameter
besprochen werden.
i1
u1
i2
Vierpol
u2
Bild 2.9: Allgemeine Darstellung eines Vierpoles
Um den Grundgedanken der Vierpoltheorie noch einmal zu verdeutlichen: Gegeben ist allgemein ein
lineares Netzwerk bestehend aus passiven Bauelementen und Quellen. Es enthält zwei Klemmenpaare, an die weitere Bauelemente angeschlossen werden können. (Eventuelle Anschlüsse für
Betriebsspannungen etc. entfallen bei der Linearisierung einer Schaltung mit Halbleitern.) Wir können
uns dieses Netzwerk auf einer Leiterplatte aufgebaut denken. Das Schaltbild und der Aufbau sind uns
allerdings nicht zugänglich. Die Frage lautet, ob man trotzdem das Netzwerk so charakterisieren kann,
daß eine Berechnung einer elektronischen Schaltung, die dieses Netzwerk enthält, möglich ist.
Schließen wir irgendwelche Bauelemente an die Klemmen des Netzwerkes an, so werden sich
bestimmte Klemmenspannungen einstellen und es werden Ströme in das Netzwerk hinein oder heraus
fließen. Die angeschlossenen Bauelemente „interessiert es nicht“, was im Netzwerk selbst vorgeht.
Wichtig für die Ströme und Spannungen in der Gesamtschaltung ist es, wie sich das Netzwerk an
seinen Klemmen verhält.
Dieses Klemmenverhalten kann man durch vier Messungen bestimmen und das Innere des Vierpoles
durch vier Bauelemente (die sogenannten „Vierpolparameter“) charakterisieren. Wenn das Verhalten
des Vierpoles frequenzabhängig ist, so sind diese Vierpolparameter natürlich auch frequenzabhängig.
Man kann auch den umgekehrten Weg gehen. Gegeben sei wieder das lineare Netzwerk. Diesmal ist
die Innenschaltung jedoch bekannt. Durch relativ einfache Berechnungen können wir dann die vier
Vierpolparameter ermitteln. Dies hat den Vorteil, daß sich die Gesamtschaltung (Vierpol plus äußere
Beschaltung) erheblich vereinfacht und wir so das Verhalten der Gesamtschaltung einfacher berechnen können.
Je nach Messvorschrift kann man unterschiedliche Parametersätze zu je vier Vierpolparametern
definieren. Praktische Anwendung in der Analogelektronik finden jedoch nur die h-Parameter und die
y-Parameter.
14
2.5.2.1 Die h-Parameter
Das „h“ bei der Bezeichnung „h-Parameter“ kommt von „Hybrid“. Wir finden drei unterschiedliche
Dimensionen (Ohm, Siemens, dimensionslos) bei diesen Parametern vor. Die Vierpolgleichungen für
die h-Parameter lauten allgemein
u1 = h11 * i1 + h12 * u2
i2 = h21 * i1 + h22 * u2
oder in Vektorschreibweise
h  i 
 u1 
 i  h
  = [H] *  1  =  11 12  *  1 
 u2  h21 h22   u2 
 i2 
Jeder dieser Parameter läßt sich (meßtechnisch) bestimmen, indem man in der Gleichung, in der er
vorkommt, die andere Größe zu 0 setzt.
h11 =
u1
i1
h12 =
u2 =0
u1
u2
h21 =
i1 =0
i2
i1
h22 =
u2 =0
i2
u2
i1 = 0
Im Nenner der jeweiligen Ausdrücke steht diejenige Größe, mit der man bei der Messung den Vierpol
stimuliert, im Zähler diejenige Größe, die der Vierpol aufgrund dieser Stimulation abgibt. Setzt man bei
der Messung eine Spannung zu Null, so bedeutet dies, daß das betreffende Klemmenpaar kurzgeschlossen wird. Wird ein Strom zu Null gesetzt, so wird das betreffende Klemmenpaar im Leerlauf
betrieben und keine Last angeschlossen. Die Dimension von h11 ist das Ohm, die von h22 das
Siemens. h12 und h21 sind dimensionslos.
Schaut man sich die Vierpolgleichungen noch einmal an, so stellt man fest, daß die erste Gleichung
eine Maschengleichung ist und die zweite Gleichung eine Knotengleichung. Dementsprechend sieht
das Ersatzschaltbild des Vierpols aus (gestrichelt eingezeichneter Kasten in Bild 2.10). Die Meß- und
Rechenvorschrift kann man sich ebenfalls anhand des folgenden Schaltbildes klarmachen:
A
S1
i1
S2 A
i2
A
B
B
h11 h * i
21
1
i1
V
u1
h22
~
h12 * u2
u2
u2
~
Bild 2.10: Ersatzschaltbild für die Messung der h-Parameter
Im Inneren des Vierpols finden wir auf der linken Seite die Maschengleichung wieder und auf der
rechten Seite die Knotengleichung. Im Laboralltag wird man allerdings wohl kaum eine Stromquelle i1
verwenden, sondern eine Spannungsquelle, deren Strom allerdings als erregende Größe zugrunde
legen.
Die Messung von h11 geschieht mit S1 in Stellung B und S2 in Stellung A. Da u2 gleich 0 ist, ist
h12*u2 gleich Null, die Spannungsquelle im linken Teil des Vierpoles liefert keine Spannung. Der
Strom i1 erzeugt einen Spannungsabfall an h11, den das Voltmeter (mit unendlich hohem Innenwiderstand) mißt.
15
Will man h12 messen, so steht S1 in Stellung A und S2 in Stellung B. Die Spannungsquelle u2 liegt am
rechten Klemmenpaar an und aufgrund dieser Spannung entsteht eine Spannung h12*u2 in der linken
Spannungsquelle des Vierpoles. Da kein Strom fließt, ist der Spannungsabfall an h11 gleich 0 und das
Voltmeter mißt am linken Klemmenpaar des Vierpoles die Spannung h12*u2.
Um h21 zu messen, muß S1 in Stellung B und S2 in Stellung A stehen. Dann treibt die Stromquelle i1
den Strom i1 durch die linke Seite des Vierpoles. Dieser Strom erzeugt einen Strom h21*i1. Die
Spannung am Leitwert h22 ist gleich 0, so daß dieser Leitwert stromlos ist. Der gesamte Strom h12*i1
fließt als i2 durch das (widerstandslose) Amperemeter und wird damit als i2 gemessen.
Zur Messung von h22 steht S1 in Stellung A und S2 in Stellung B. Der Spannung u2 treibt den Strom
i2 durch das rechte Klemmenpaar des Vierpoles. Da i1 gleich 0 ist, liefert h21*i1 keinen Strom und der
gesamte Strom i2 berechnet sich zu u2*h22.
Nun wollen wir unser neu erworbenes Wissen einmal an einem Vierpol ausprobieren, der eigentlich
nur aus einem einzigen Widerstand R besteht (Bild 2.11).
A
S1
i1
S2 A
i2
R
A
B
B
i1
V
u1
u2
u2
~
Bild 2.11: Einfacher Vierpol
Dies ist der Anwendungsfall, bei dem wir die Innenschaltung kennen, aber zur Vereinfachung späterer
Berechnungen die Vierpolparameter bestimmen wollen.
Wir beginnen mit h11:
h11 =
u1
i1
u 2 =0
i1 * R
=R
i1
=
Im Nenner steht die erzeugende Größe, also der Strom i1, im Zähler die Erzeugte, hier die Spannung
u1. Nun geht es mit h12 weiter:
h12 =
u1
u2
i1 = 0
=
u2
=1
u2
Fließt kein Strom i1, so ist der Spannungsabfall am Widerstand R gleich Null und u1 ist gleich u2.
h 21 =
i2
i1
u2 =0
=
− i1
= −1
i1
Das Minuszeichen bei h21 rührt daher, daß grundsätzlich die Ströme als in den Vierpol hineingehend
angenommen werden.
16
Als letztes berechnen wir h22:
h22 =
i2
u2
i1 =0
=
0
=0
u2
Somit finden wir für die Matrix H der Vierpolparameter:
 R 1
H=

 − 1 0
In diesem speziellen Fall hat es also nicht geklappt mit einer Vereinfachung der Schaltung, aber es
handelt sich hier ja auch um einen ganz besonders einfachen Vierpol.
2.5.2.2 Die y-Parameter
Im Hochfrequenzbereich läßt sich meßtechnisch die Bedingung "i = 0" nicht mehr erfüllen, da aufgrund
parasitärer Kapazitäten des Meßaufbaus ein ungewollter Strom i1 fließt. Deshalb werden bei
Bauelementen für Hochfrequenzanwendungen nicht mehr die h-Parameter, sondern die y-Parameter
benutzt. Diese haben immer die Bedingung "u = 0". Bei Feldeffekttransistoren fließt schon bei
niedrigen Frequenzen ein kapazitiver Strom, so daß bei ihnen die y-Parameter bereits im NF-Bereich
benutzt werden. Bei der Berechnung der y-Parameter kann man ähnlich wie bei der Berechnung der hParameter vorgehen. Die allgemeinen Vierpolgleichungen in y-Form lauten:
i1 = y 11 * u1 + y 12 * u 2
i 2 = y 21 * u1 + y 22 * u 2
Elektrisch handelt es sich bei beiden Gleichungen um Knotengleichungen, da hier jeweils zwei Ströme
addiert werden. Die einzelnen Koeffizienten werden wie folgt ermittelt:
y 11 =
i1
u1
y 12 =
u2 =0
i1
u2
u1 = 0
y 21 =
i2
u1
y 22 =
u2 =0
i2
u2
u1 = 0
Man erkennt, daß in diesen Bestimmungsgleichungen grundsätzlich Spannungen zu 0 gesetzt werden
und daß die stimulierende Größe immer ein Spannung ist, während die stimulierte Größe immer ein
Strom ist. Der Quotient aus Strom und Spannung hat die Einheit Siemens, alle y-Parameter sind Leitwerte. Bild 2.12 zeigt die meßtechnische Bestimmung der y-Parameter beziehungsweise das Ersatzschaltbild zur rechnerischen Bestimmung. Im Inneren des Vierpols finden wir zweimal die
Parallelschaltung zweier Bauelemente, was den Knotengleichungen entspricht.
S1
A
i1
A
B
i2
y11
~
u1
y12 * u2
u1
S2 A
A
B
y22
u2
u2
~
y21 * u1
Bild 2.12: Meßtechnische Bestimmung der y-Parameter
Allgemein gilt, daß durch einen Leitwert, an dem
die Spannung 0 Volt anliegt, kein Strom fließt.
17
Wird also ein Klemmenpaar des Vierpols kurzgeschlossen, so mißt das Amperemeter den Strom der
gesteuerten Quelle, die mit diesem Klemmenpaar verbunden ist. Mit dieser Voraussetzung läßt sich
die meßtechnische Bestimmung der Vierpolparameter y12 und y21 leicht nachvollziehen:
y 12 =
y * u2
i1
= 12
= y 12
u2
u2
y 21 =
y * u1
i2
= 21
= y 21
u1
u1
Wird eine spannungsgesteuerte Stromquelle nicht durch eine Spannung stimuliert, so liefert sie keinen
Strom und stellt einen unendlich großen Widerstand dar. Mißt man nun mit Hilfe einer Spannung u
eine Parallelschaltung eines Leitwertes y mit einer idealen Stromquelle, die keinen Strom liefert, so ist
der Quotient aus fließendem Strom u*y und angelegter Spannung u gleich dem Leitwert y. Die Stromquelle geht nicht mit in die Rechnung ein. Mit dieser Voraussetzung läßt sich die Messung von y11 und
y22 nachvollziehen:
y 11 =
y * u1
i1
= 11
= y 11
u1
u1
y 22 =
i 2 y 22 * u 2
=
= y 22
u2
u2
Wenn ein Vierpol durch Vierpolparameter beschrieben wird, so müssen sich die einzelnen Parameterarten natürlich ineinander umrechnen lassen, da ja immer der gleiche Vierpol beschrieben wird.
Die folgende Tabelle beschreibt die Umrechnung von h-Parametern in y-Parameter und umgekehrt.
Matrix
h-Parameter
y-Parameter
1
y 11
h11 h12
H
Y
h21 h22
1
h11
h 21
h11
y 21
y 11
h12
h11
Det H
−
y11
y 12
y 11
Det Y
−
y 11
y12
y 21 y 22
h11
Tabelle 2.1: Umrechnung der h-Parameter in die y-Parameter und umgekehrt
Dabei ist Det IHI = h11*h22 - h12*h21
18
Netzlisten
Simulation eines Tiefpasses
VEIN EIN 0 AC 1V
R EIN AUS 1KOHM
C AUS 0 1UF
* .AC DEC 30 10 10K; FUER BODEDIAGRAMM
.AC DEC 30 1 10K; FUER ORTSKURVE
.END
Klirrfaktorbestimmung einer Rechteckschwingung
VEIN AUS 0 PULSE (0 1 0 1n 1n 499999n 1m)
R AUS 0 1
.TRAN 1u 2m
.FOUR 1000 V[AUS]
.END
19
3. Grundlagen der Operationsverstärker
3.1 Gegenkopplung
Gegeben ist die folgende Anordnung aus einem Verstärker mit der komplexen Verstärkung vD, einem
Rückkopplungsglied mit der Abschwächung k sowie einer vorgeschalteten Subtrahierstufe (Bild 3.1).
Die Subtrahierstufe subtrahiert die Spannungen ue und k*ua voneinander, die Differenz ist die
Differenzspannung uD. Der Widerstand R sei vorerst unendlich groß.
uD = ue - k * ua
+
Verstärker mit vD
ie
-
R
A
uD
ue
ua = v D * uD
Rückkopplungsglied k
k * ua
Bild 3.1: Gegengekoppelter Verstärker
Die Ausgangsspannung ua des Verstärkers wird vom Rückkopplungsglied um den Faktor k abgeschwächt. Diese Spannung k*ua gelangt dann an den Eingang der Subtrahierstufe, zusammen mit der
Eingangsspannung ue. Diese Subtrahierstufe bildet die Differenz aus beiden Spannungen derart, daß
die Eingangsspannung ue um k*ua vermindert wird. Wird die Eingangsspannung durch die Zuführung
des rückgekoppelten Signals vermindert, so spricht man von Gegenkopplung. Das Resultat ist dann
die Differenzspannung uD mit
uD = ue − k * ua
am Ausgang der Subtrahierstufe. Diese Spannung wird dem Verstärker zugeführt. Der Verstärker verstärkt diese Differenzspannung um den Faktor vD:
ua = v D * uD
oder
Durch Umstellen erhält man
ua = v D * (ue − k * ua )
(1 + k * v D ) * ua = v D * ue
Definieren wir als die Verstärkung v der Gesamtanordnung den Quotienten aus ua und ue, so erhalten
wir:
v=
ua
vD
=
ue 1 + k * v D
Dies ist die Verstärkung eines gegengekoppelten Verstärkers mit der inneren Verstärkung vD und
dem Rückkopplungsfaktor k. Den Faktor k*vD bezeichnet man auch als Schleifenverstärkung g.
Trennt man die Rückkopplung an der Verbindungsstelle Verstärkerausgang/Eingang des Rückkopplers (Punkt A in Bild 3.1) auf und speist an dieser Stelle eine auf Masse bezogene Meßspannung
uMeß in den Rückkoppler ein, so gilt für die Spannung ua
20
ua = −k * v D * uMeß = −g * uMeß
unter der Voraussetzung ue = 0 (Bild 3.2). Auf diese Weise lassen sich k und g meßtechnisch
ermitteln. Der Ausdruck „Schleifenverstärkung“ für g stammt aus der Regelungstechnik, wie auch
obige Schaltung die Grundschaltung eines einfachen Regelkreises ist. Dabei ist ue die Führungsgröße
und ua die Regelgröße.
-k * umeß
+
Verstärker mit vD
uD
ua = -k * vD * umeß
Rückkopplungsglied k
k * umeß
umeß
Bild 3.2: Aufgetrennte Rückkopplung
Besteht das Rückkopplungsglied aus einem Spannungsteiler nach Bild 3.3, so berechnet sich k zu
k=k =
R1
R1 + R 2
falls der Spannungsteiler unbelastet ist, also bei unendlich großem Eingangswiderstand des Subtrahierers. Wir werden diesen Spannungsteiler als Rückkopplung sowohl bei der inR2
vertierenden als auch bei der nichtinvertierenden Operationsverstärkerschaltung
vom
ua
Verstärker wiederfinden. Betrachtet man die Gleizum
k
*
u
R
chung für die Verstärkung v, so sieht
a
1
Subtrahierer
man, daß diese sowohl von der Rückkopplung k als auch von vD abhängt. DaBild 3.3: Spannungsteiler als Rückkopplungsglied
bei ist vD eine Verstärkereigenschaft
und
k
eine
Eigenschaft
des
Rückkopplungsnetzwerkes. Will man eine Schaltung aufbauen, deren Eigenschaften unabhängig
vom verstärkenden Element sind, so muß man vD sehr groß werden lassen. Es gilt dann für den
Grenzwert
lim v vD →∞ = lim vD →∞
vD
1
=
1+ k * vD k
Macht man die innere Verstärkung vD des Verstärkers sehr groß, so ist die resultierende Verstärkung v der gesamten Anordnung nahezu unabhängig von der Verstärkereigenschaft vD
und nur noch eine Funktion des Rückkopplungsnetzwerkes.
Die Forderung "vD sehr groß" ist mit Verstärkern mit nur einem verstärkenden Element wie einem
bipolaren oder einem Feldeffekttransistor nicht zu erfüllen. Außerdem sollte ein solcher Verstärker
bereits über eine Eingangsstufe verfügen, welche die Differenz zweier Spannungen bilden kann.
21
Ein Verstärkerelement, welches diesen Forderungen weitgehend entspricht, ist der
Operationsverstärker. Operationsverstärker werden heute ausschließlich in integrierter Technik
hergestellt. Da vD sehr groß ist, dürfen die exakten Daten des Operationsverstärkers relativ stark
streuen, ohne daß dies einen großen Einfluß auf die Verstärkung v hätte. Dies ermöglicht eine große
Ausbeute bei der Herstellung der integrierten Schaltungen und hat wesentlich dazu beigetragen, daß
Operationsverstärker ein Massenartikel der Elektronik geworden sind.
Dazu ein Zahlenbeispiel: Hat der Operationsverstärker eine Ausgangsspannung von 10 Volt bei einer
5
Differenzverstärkung vD von 10 , so ist die dazugehörige Differenzspannung gleich
uD =
ua
10 V
=
= 100 µV
v D 100000
Je größer die Differenzverstärkung vD ist, umso kleiner ist uD bei gegebener Ausgangsspannung ua.
Im übernächsten Kapitel werden wir viel mit dem „idealen“ Operationsverstärker arbeiten, bei dem die
Differenzverstärkung vD unendlich groß ist. Dann ist uD gleich Null. In der Praxis ist uD natürlich
niemals gleich Null, jedoch sehr klein. Dies bedeutet jedoch, daß man in einem Maschenumlauf uD zu
Null setzen darf, ohne einen großen Fehler zu machen. Diese Tatsache vereinfacht das Berechnen
von Schaltungen mit Operationsverstärkern erheblich.
Allgemein gilt:
Bei einem gegengekoppelten Operationsverstärker stellt sich die Ausgangsspannung ua bei
einer vorgegebenen Eingangsspannung ue derart ein, daß die Spannung uD praktisch gleich
Null ist.
Dieser Satz ist allgemeingültig
Operationsverstärkern enorm.
und
hilft
bei
der
Berechnung
von
Schaltungen
mit
Nun sei der Widerstand R in Bild 3.1 nicht mehr unendlich groß. Bei einer Spannung uD am
Widerstand R fließt der Fehlerstrom ie (Index „e“ wie „Error“) durch diesen Widerstand:
ie =
uD
R
und wir können schreiben
u a = v D * uD = v D * i e * R = Z * i e
Dies ist kein billiger Rechentrick, sondern wir können damit einen anderen Typ von
Operationsverstärker definieren, den stromgesteuerten Operationsverstärker. Der „Verstärkungsfaktor“
ist die Impedanz Z. Der Strom selbst ist klein, siehe obiges Beispiel: Bei einer Ausgangsspannung von
10 Volt, einer Differenzverstärkung von 100000 und einem Widerstand R von 10 Ohm erhalten wir
ie =
u D 100 µV
=
= 10 µA
R
10Ω
Die Impedanz Z ist dann gleich 1MΩ.
22
3.2 Operationsverstärker
Ein Operationsverstärker ist eine mehrstufige Verstärkerschaltung, deren einzelne Verstärkerstufen
gleichspannungsmäßig gekoppelt sind. Man findet weiterhin
- hohe Spannungsverstärkung
- Differenzverstärkereingang
- große Eingangswiderstände
- einen kleinen Ausgangswiderstand
- gute Nullpunktstabilität
- Tiefpaßverhalten
Ursprünglich entwickelt für Rechenoperationen in der Analogrechnertechnik, haben Operationsverstärker heute eine sehr weite Verbreitung gefunden. Viele Schaltungskonzepte sind durch Operationsverstärker überhaupt erst realisierbar geworden. Operationsverstärker sind durchweg integrierte
Schaltungen oder Hybridschaltungen, da manche ihrer hervorragenden Eigenschaften erst durch
spezielle Schaltungsvarianten in integrierter Technik ermöglicht werden. Trotzdem sind ihre Eigenschaften immer noch nicht ideal, wie folgende Gegenüberstellung zeigt:
Eigenschaft:
idealer OP
realer OP
Differenzverstärkung vD
∞
2*104..105
Eingangswiderstand
∞
106Ω bipolar
1014Ω FET
re
Ausgangswiderstand
0
etwa 30Ω
∞
etwa 80dB
keine
von -50°C bis +125°C
ra
Gleichtaktunterdrückung
G
Temperaturdrift
meist vernachlässigbar
Übertragungsbandbreite
∞
von v abhängig,
bis 107 Hertz
(Hertz)
Aussteuerbereich
-∞...+∞
etwa -UB bis +UB
(Volt)
Die obige Tabelle gilt für "Jellybeans", also Standardoperationsverstärker in integrierter Technik wie
den µA741 oder den TL081. Spezielle Operationsverstärker sind für bestimmte Eigenschaften wie
hohe Verstärkung, große Bandbreite, kleine Offsetspannung oder geringe Drift ausgelegt, aber auch
23
dementsprechend teurer. Trotzdem müssen die Abweichungen vom Idealverhalten besprochen werden, damit in der Praxis abgeschätzt werden kann, ob ein bestimmter Operationsverstärker für einen
bestimmten Zweck geeignet ist oder nicht.
Bild 3.4 zeigt das Schaltsymbol sowie Definitionen von Spannungen am Operationsverstärker sowie
das linearisierte Ersatzschaltbild der Standardarchitektur, des „Voltage Feedback Amplifiers“ VFA.
ra
uD
uP
uN
+
_
rD
OUT
ua
uD
uP
vD
uN
rgl
~
uL = vD * uD
ua
rgl
Bild 3.4: Operationsverstärker: Symbol und lineares Ersatzschaltbild
Anschlüsse zur Spannungsversorgung werden im allgemeinen nicht dargestellt, Anschlüsse zur Frequenz- und Offsetspannungskompensation nur dann, wenn vorhanden. Wir finden einen
nichtinvertierenden Eingang („+“) und einen invertierenden Eingang („-“) sowie den Ausgang Out. Die
Spannung des nichtinvertierenden Eingangs gegen Masse nennt man UP, die des invertierenden
Eingangs hingegen UN. Zwischen den Eingängen liegt die Spannung uD. Legt man beispielsweise
eine positive Spannung an den nichtinvertierenden Eingang an, so wird die Ausgangsspannung
ebenfalls positiv. Legt man diese Spannung jedoch an den invertierenden Eingang an, so wird die
Ausgangsspannung des Operationsverstärkers negativ. Im Ersatzschaltbild sind die beiden
Gleichtakteingangswiderstände rgl sowie der Differenzeingangswiderstand rD eingezeichnet. Die
Ausgang ist eine spannungsgesteuerte Spannungsquelle uL mit dem Innenwiderstand ra. Beim
idealen Operationsverstärker werden alle Eingangswiderstände als unendlich groß und der
Ausgangswiderstand ra als unendlich klein angenommen.
3.3 Schaltungstechnischer Aufbau von Operationsverstärkern
Im folgenden werden zwei Typen von Operationsverstärkern vorgestellt: Die Standardarchitektur, im
Angelsächsischen auch als VFA (Voltage Feedback Amplifier) bezeichnet und der CFA (Current
Feedback Amplifier). Von beiden Typen existieren zahllose Schaltungsvarianten, die dem jeweiligen
Verwendungszweck und dem technologischen Fortschritt angepaßt sind, so daß hier nur die
Prinzipschaltungen besprochen werden können. Selbst wenn man als Anwender niemals einen
Operationsverstärker entwerfen muß, so sollte man doch soviel Kenntnisse von deren Aufbau haben,
daß man das Klemmenverhalten des IC's versteht.
24
3.3.1 Standardarchitektur, Voltage Feedback Amplifier
Bild 3.5 zeigt ein Prinzipschaltbild des Voltage Feedback Amplifiers, wobei die Widerstände in
Wirklichkeit zumeist durch aktive Lasten realisiert werden. Wir können drei Stufen unterscheiden:
- den Differenzverstärker
- den Gleichspannungsverstärker
- die Endstufe.
+UB
RC
RC
RE
RE
T3
T9
T4
T1
C
T2
“-”
T7
“+”
A
T8
Out
T10
T5
T6
-UB
Differenzverstärker
Gleichspannungsverstärker
Gegentaktendstufe
Bild 3.5: Standardarchitektur, VFA
Der Differenzverstärker bestehend aus den Transistoren T1 und T2 übernimmt die Funktion des
Subtrahierers aus Bild 3.1, wobei er zusätzlich noch eine Spannungsverstärkung von etwa 200
aufweist. (Dies ist ein ganz grober Richtwert). Das verstärkte Differenzsignal wird auf den
Gleichspannungsverstärker mit den Transistoren T3 und T4 gegeben und dort etwa mit dem Faktor
500 (auch dies nur ein grober Richtwert) verstärkt. Die Transistoren T5 und T6 bilden eine aktive Last
(s. u.). Am Ausgang des Verstärkers, dem Punkt „A“ ist die Gegentaktendstufe angeschlossen. Die
Endstufentransistoren T9 und T10 arbeiten in Kollektorschaltung und werden von den Transistoren T7
bzw. T8 gespeist, die ebenfalls in Kollektorschaltung betrieben werden. Durch die Kollektorschaltungen
weist die ganze Enstufe eine Spannungsverstärkung von etwa 1 auf, besitzt jedoch nur einen kleinen
Ausgangswiderstand. Der ganze Ausgangsspannunghub muß bereits vom Gleichspannungsverstärker
am Knoten „A“ aufgebracht werden. Der Knoten „A“ ist der hochohmigste Knoten in der gesamten
Schaltung. Deshalb ist der Kompensationskondensator C an diesem Punkt angeschlossen. Seine
Funktion wird weiter unten besprochen.
Jeder Transistor benötigt zur Arbeitspunkteinstellung einen Basisgleichstrom. Im Falle von T1 und T2
muß dieser Gleichstrom aus der externen Schaltung in den OP hinein fließen. Da dies in manchen
Fällen stören kann, gibt es auch OP’s mit Sperrschichtfeldeffekttransistoren an den Eingängen. Diese
benötigen keinen Eingangsgleichstrom. Es gibt auch Operationsverstärker, die ausschließlich mit
MOSFETs aufgebaut sind.
25
Wir finden in diesem Prinzipschaltbild zwei typische Schaltungen der Mikroelektronik, nämlich den
Differenzverstärker und den Stromspiegel. Obwohl sie im Transistorkapitel behandelt werden, soll hier
doch eine kurze Besprechung der Funktion dieser Schaltungen erfolgen.
Grundlage beider Schaltungen ist das sogenannte „emittergekoppelte Paar“, „emitter coupled pair,
ECP“. Dieses ECP ist eines der Grundelemente der Mikroelektronik und vergleichbar mit den
Einzeltransistor in der „klassischen“ Analogtechnik, die mit diskreten Bauelementen operiert.
3.3.1.1 Der Differenzverstärker
Bild 3.6 zeigt links den Differenzverstärker aus Bild 3.5, rechts eine vereinfachte Version des
Differenzverstärkers des µA741. Der µA741 ist zwar veraltet, jedoch der Urahn von Generationen von
OP’s und von der Gesamtschaltung noch einigermaßen verständlich.
+UB
+UB
RC
RC
20µA
T1
T1
uA1
uP
T2
“-”
“+”
IP
IN
uN
T2
uA2
uN
T3
uP
T4
I
Ri
-UB
-UB
Bild 3.6: Differenzverstärker
Im linken Teil des Bildes ist lediglich der Innenwiderstand Ri der Konstantstromquelle hinzugekommen.
Momentan gelte aber: Ri sei unendlich groß.
Nehmen wir einmal an, daß die beiden Transistoren T1 und T2 exakt identisch sind, also gleiche
technische Daten haben. Auch die beiden Kollektorwiderstände seien exakt gleich. Jetzt verbinden wir
die beiden Basisanschlüsse miteinander und legen eine Spannung zwischen Basis und Masse an.
Beide Transistoren haben nun die gleiche Basis-Emitter-Spannung, es fließt der gleiche Basisstrom
und damit auch der gleiche Kollektorstrom. Auch die Emitterströme sind gleich groß. Die Stromquelle
zieht den Strom I aus dem Emitterknoten heraus, in den Knoten hinein fließen die gleich großen
Emitterströme. Jeder Emitterstrom ist gleich I/2. Vernachlässigen wir den Basisstrom, so sind auch die
Kollektorströme gleich groß, nämlich I/2 und die Spannungsabfälle an den Kollektorwiderständen sind
ebenfalls identisch: URC=RC * I/2. Variieren wir nun die Eingangsspannung, so ändert sich nichts: Da
die beiden Zweige bestehend aus RC und einem Transistor T exakt die gleichen Daten haben, fließt
immer der Strom I/2 durch jeden der Zweige. Mißt man nun die Spannung uA1 oder uA2, so ändern
sich diese Spannungen nicht, obwohl sich die Eingangsspannung ändert. Der angeschlossene
Gleichspannungsverstärker erhält immer das gleiche Signal, die Ausgangsspannung des
26
Operationsverstärkers ändert sich nicht. Man spricht hier von einer Gleichtaktaussteuerung, da beide
Eingänge das gleiche Signal erhalten.
Die Gleichtaktverstärkung des idealen Differenzverstärkers ist gleich Null
Mathematisch entspricht dies der Subtraktion zweier gleich großer Zahlen. Wir haben hier den
Subtrahierer aus Bild 3.1 vor uns.
Die Basis-Emitter-Spannung beider Transistoren ist beinahe konstant und beträgt etwa 0.7 Volt. Der
Emitterknoten folgt somit der Eingangsspannung. Am Emitter wird aber kein Signal abgegriffen.
Nun lösen wir die Verbindung beider Transistoren und legen ein reines Differenzsignal an: Ist die
Spannung uN beispielsweise gleich 10mV, so soll uP gleich –10mV sein. Nun ändern sich die
Transistordaten unterschiedlich. Der linke Transistor wird besser leiten als der rechte und die
Stromverteilung in den beiden Zweigen ändert sich, Damit ändern sich auch die Spannungsabfälle an
den Kollektorwiderständen: Die Spannung uA1 wird kleiner werden, die Spannung uA2 hingegen
ansteigen. Die Summe der Ströme durch die Zweige ist aber immer noch gleich I, da die Stromquelle
diesen Strom aus den Emittern heraus zieht. Der angeschlossene Gleichspannungsverstärker erhält
unterschiedliche
Eingangsspannungen
und
die
Ausgangsspannung
des
gesamten
Operationsverstärkers wird sich ändern. Bei einem Differenzsignal liefert der Differenzverstärker ein
Ausgangssignal – deswegen heißt er ja auch so.
Soweit zum idealen Differenzverstärker. Nun sei der Innenwiderstand Ri der Stromquelle nicht mehr
unendlich, sondern er habe einen endlichen Wert. Wir verbinden wieder beide Basisanschlüsse
miteinander und legen eine Spannung an: Gleichtaktaussteuerung. Je nach Spannung werden die
Transistoren mehr oder weniger gut leiten, der Strom durch den linken Zweig ist wieder gleich dem
Strom durch den rechten Zweig. Da der Innenwiderstand der Stromquelle endlich ist, fließt nun aber
nicht mehr der Strom I/2 durch jeden Zweig, sondern der Strom ist von der Aussteuerung abhängig.
Dadurch ändern sich die Spannungsabfälle an den Kollektorwiderständen RC und die
Ausgangsspannungen ändern sich. Die Änderungen sind in beiden Zweigen gleich und gering, wenn
Ri nur genügend groß ist. Bei unserem Beispieloperationsverstärker haben wir Glück: Der
Gleichspannungsverstärker ist wieder ein Differenzverstärker und die Änderungen von dessen
Ausgangsspannungen sind nur gering. Anders beim µA741: Hier ist die Auskopplung unsymmetrisch
und der angeschlossene Gleichspannungsverstärker erhält ein Signal, welches er auch prompt
verstärkt und an den Ausgang weitergibt. Auf jeden Fall bewirkt ein Gleichtaktsignal am Eingang eine
Änderung der Ausgangsspannung, wir haben eine Gleichtaktverstärkung vGl.
Für den realen Differenzverstärker gilt ohne Herleitung
Differenzverstärkung v D = −
ß * RC
g * RC
=− m
2 * rBE
2
Je größer RC ist, umso größer ist auch vD. Deshalb setzt man in der Realität auch keine ohmschen
Widerstände als Lastwiderstände ein, sondern aktive Lasten.
Gleichtaktverstärkung v Gl = −
ß * RC
rBE + 2 * Ri * (ß + 1)
Die unerwünschte Gleichtaktverstärkung ist zwar auch proportional zu RC, außerdem jedoch noch
umgekehrt proportional zu Ri. Deshalb sieht man Stromquellen statt ohmscher Widerstände vor, die
einen wesentlich größeren Innenwiderstand als einfache ohmsche Widerstände haben.
27
Eine ganz wichtige Größe des Differenzverstärkers ist die Gleichtaktunterdrückung G:
v D rBE + 2 R i * (ß + 1)
R
=
ŧ* i
v Gl
2 rBE
rBE
Gleichtaktunterdrückung G =
Was geschieht, wenn die Transistoren oder Widerstände nicht exakt gleich sind? Dann sind die
Ausgangsspannungen uA1 und uA2 auch bei gleicher Aussteuerung ungleich groß und dem
Gleichspannungsverstärker wird ein Eingangssignal vorgetäuscht. Die Ausgangsspannung ist ungleich
Null, selbst wenn beide Eingangsspannungen gleich Null sind: Es liegt eine Offsetspannung vor
(siehe unten). Eine Möglichkeit, diese Spannung zu kompensieren besteht darin, daß man die
Symmetrie der Zweige wieder herstellt. Beim 741 und vielen anderen Typen geschieht dies durch
einen externen Trimmer. Im Bild 3.6 rechts ist er gestrichelt eingezeichnet. Er liegt zwei Widerständen
im IC parallel. Verändert man die Position des Schleifers, so ändert man die Werte der
Parallelschaltung und symmetriert so den Differenzverstärker wieder.
Bei Präzisionsoperationsverstärkern teilt man die Transistoren des Differenzverstärkers in zwei
parallelgeschaltete Transistoren auf, die sich auf dem Chip diagonal gegenüberliegen. Auf diese Art
und Weise lassen sich Änderungen der Eigenschaften durch Temperaturgradienten minimieren (Bild
3.8)
C1
B1
T1A
C2
T1B
T2A
T2B
B2
E
C
T1A
C
T2A
B
B
E
E
B2
B1
T2B
T1B
E
E
E
B
B
C1
C
C
C2
Bild 3.7: Emittergekoppeltes Paar eines Präzisionsoperationsverstärkers
28
3.3.1.2 Der Stromspiegel
Stromspiegelschaltungen sind in der Mikroelektronik sehr weit verbreitet. Sie dienen dazu, mit Hilfe
eines Referenzgleichstromes einen oder mehrere Gleichströme in der Schaltung einzustellen. Eine
weitere sehr wichtige Anwendung ist die aktive Last. Bild 3.8 zeigt zwei einfache Stromspiegel sowie
das Kleinsignalersatzschaltbild eines solchen.
+UB
T3
T4
A
R
Iref
IC1
R
A
rBE1
B
rBE2
rCE1
rCE2
B
IC2
2*IB
T1
T2
IB IB
-UB
Bild 3.8: Einfache Stromspiegel und Ersatzschaltbild
Im linken Teil des Bildes sind zwei Stromspiegel dargestellt, einer mit npn-Transistoren und ein zweiter
mit pnp-Transistoren. Die Transistorpaare sollen jeweils identische Daten aufweisen. Die Transistoren
T1 und T2 sind basisseitig miteinander verbunden. Außerdem ist der Kollektor des Transistors T1 an
diesem Knoten angeschlossen. Die Kollektor-Emitter-Sättigungsspannung UCEsat beträgt etwa 0.2
Volt – 0.3 Volt, die Basis-Emitter-Spannung UBE etwa 0.7 Volt. Deshalb arbeitet der Transistor T1
noch im aktiven Bereich, da seine Kollektor-Emitter-Sättigungsspannung noch nicht erreicht ist. In
vielen Schaltungen findet man anstatt des Transistors, dessen Kollektor- und Basisanschlüsse
miteinander verbunden sind, eine Diode eingezeichnet. Dies ist jedoch irreführend, da wir ein
stromverstärkendes Element vor uns haben.
Durch den Widerstand fließt der Gleichstrom Iref:
Iref =
(+ UB ) + (− UB ) − UBE
R
Da die Basis-Emitter-Spannung UBE etwa konstant gleich 0.7 Volt ist, ist der Strom Iref auch konstant
und nur von den Betriebsspannungen abhängig. Da beide Transistoren identische Daten haben und
bei beiden die Basis-Emitter-Spannung gleich ist, ist auch deren Basisstrom gleich. Der Strom Iref teilt
sich auf:
Iref = IC1 + 2 * IB
Zwischen Basisstrom und Kollektorstrom finden wir folgenden Zusammenhang:
IC1 = B * IB
29
und damit
Iref = B * IB + 2 * IB = (B + 2) * IB
Aufgelöst nach dem Basisstrom:
IB =
Iref
B+2
Damit können wir den Kollektorgleichstrom des Transistors T2 berechnen:
IC 2 = B * IB = Iref
B
≈ Iref
B+2
Mit Hilfe des Referenzstromes Iref läßt sich somit der Kollektorgleichstrom IC2 einstellen. Das
Kleinsignalersatzschaltbild des Stromspiegels ist einfach: Da kein Wechselstrom an die
Basisanschlüsse gelangt, entfallen die gesteuerten Quellen ß*iB und dem Transistor T2 entspricht
wechselspannungsmäßig nur der Widerstand rCE2.
Dies kann man wie folgt interpretieren. Einmal angenommen, der Kollektor des Transistors T2 sei an
irgendeinen Schaltungsknoten angeschlossen und die Kollektor-Emitter-Spannung des Transistors
betrage 10 Volt. Der Kollektorstrom sei gleich 1mA. Gleichspannungsmäßig verhält sich der Transistor
T2 wie ein ohmscher Widerstand RT
RT =
10 V
= 10kΩ
1mA
Man könnte diesen Transistor ohne weiteres durch einen ohmschen Widerstand von 10kΩ ersetzen,
ohne daß sich in diesem Zweig etwas an den Gleichspannungen ändert. Steuert man nun den
Differenzverstärker mit einer Wechselspannung aus, so wird der Schaltungsknoten von dem 10kΩWiderstand belastet. Benutzt man statt dessen den Stromspiegel, so ist der Wechselstromwiderstand
jedoch gleich rCE2, ein Wert, der weit größer sein kann als 10kΩ.
Der Transistor T2 wirkt gleichspannungsmäßig wie ein Widerstand UCE2/IC2,
wechselspannungsmäßig wie rCE2.
Beim Betrieb als aktive Last enthält der Referenzstrom Wechselanteile, so daß man die gesteuerten
Quellen berücksichtigen muß. Dies soll hier aber nicht besprochen werden. Eine solche aktive Last mit
pnp-Transistoren findet man ebenfalls in Bild 3.8.
30
3.3.1.3 Die invertierende Grundschaltung mit dem VFA
Wir wollen uns nun einmal eine sehr weit verbreitete Operationsverstärkergrundschaltung ansehen
und eine ihrer Eigenschaften bestimmen. Es ist die invertierende Grundschaltung, manchmal auch
einfach Inverter genannt.
R2
+
R1
uD
~
_
uD
OUT
ua = vD * uD
_
ue
OUT
+
ua
Bild 3.9: Ersatzschaltbild des VFA und die invertierende Grundschaltung
Der VFA kann am einfachsten durch eine ideale spannungsgesteuerte Spannungsquelle dargestellt
werden. Ihren Innenwiderstand vernachlässigen wir. Für die Spannungsverstärkung der
Operationsverstärkers gilt
u a = v D * uD
Bei der invertierenden Grundschaltung liegt der nichtinvertierende Eingang direkt an Masse und das
Signal wird dem invertierenden Eingang zugeführt. Da die Eingangsströme des Operationsverstärkers
gleich Null sind, können wir folgende Knotengleichung für den invertierenden Eingang aufstellen:
ue + uD
u + uD
=− a
R1
R2
Der Strom, der in den Eingangsknoten hineinfließt, fließt durch die Widerstände R1 und R2 in den
Ausgang des Operationsverstärkers hinein und von dort nach Masse und erzeugt Spannungsabfälle
an den Widerständen. Aufgelöst ergibt sich
u e uD
u
u
+
=− a − D
R1 R1
R2 R2
Umstellen:
ue
u
u
u
=− a − D − D
R1
R 2 R 2 R1
Nun ersetzen wir uD durch ua/vD:
ue
u
ua
ua
=− a −
−
R1
R 2 v D * R 2 v D * R1
und fassen zusammen
ue
= −ua
R1
 1
1
1 

* 
+
+
 R 2 v D * R 2 v D * R1 
31
und bestimmen die Verstärkung der Gesamtschaltung
v=
ua
1
1
=−
*
1
1
ue
R1 1
+
+
R 2 v D * R 2 v D * R1
beziehungsweise
v=
R
ua
=− 2 *
ue
R1
1
1+
1
R2
+
v D v D * R1
Ein letzter Rechenschritt ergibt
v=
ua
R
=− 2 *
ue
R1
1
1 + R1 / R 2
1+
vD
Was bedeutet dies nun? Wenn vD unendlich groß wird, so ist die Verstärkung gleich
v=−
R2
R1
Leider ist vD frequenzabhängig und komplex. Je kleiner vD wird, umso stärker spielt der Nenner im
Ausdruck für v eine Rolle. Um bestimmte Sachverhalte nicht vorweg zu nehmen, sei hier nur
angemerkt:
Bei der Bandbreite der Verstärkung spielt das Verhältnis R2/R1 eine Rolle. Oder
Die Bandbreite der Verstärkung beim VFA hängt von der Verstärkung v selbst ab.
Verstärkung R2/R1 und Bandbreite sind nicht unabhängig voneinander.
Ohne Herleitung (die kommt später):
Das Produkt aus Verstärkung und Bandbreite ist konstant
Ein Beispiel: Der Operationsverstärker µA741 hat ein Verstärkungs-Bandbreite-Produkt von 1 MHz.
Baut man mit diesem OP einen Verstärker mit dem Verstärkungsfaktor von 10 auf, so beträgt die
Bandbreite nur noch 100 kHz. Bei einem Verstärker mit v=100 ist die Bandbreite auf 10kHz
abgesunken. Will man einen Verstärker mit einer größeren Bandbreite haben, so muß man einen
anderen Operationsverstärker mit einer größeren Bandbreite einsetzen. Eine andere Möglichkeit
besteht darin, eine Schaltung aus mehreren Einzelverstärken aufzubauen, die hintereinander
geschaltet sind und deren jeweilige Bandbreite noch ausreicht.
32
3. 3. 2 Der Current Feedback Amplifier CFA
3. 3. 2.1 Architektur
Operationsverstärker mit einer CFA-Architektur weisen eine wesentlich größere Bandbreite auf als
VFA-Strukturen. Macht man im Internet eine Stichwortsuche mit den Stichworten „current“, „feedback“
und „amplifier“, so erhält man als Suchergebnis immer nur ein Resultat: Empfänger- und
Treiberschaltungen für Glasfaserkabel. Dabei tut man diesen Bauelementen bitter unrecht. Wenn man
sich mit ihren Eigenheiten vertraut macht, so kann man hervorragende Schaltungen mit ihnen
aufbauen. Fast alles, was sich mit VFA’s realisieren läßt, kann man auch mit CFA’s aufbauen bei einer
deutlichen Erweiterung der Bandbreite. Allerdings sind die Gleichspannungseigenschaften zumeist
schlechter.
Die grundsätzliche CAF-Architektur zeigt Bild 3.10.
+UB
T5
T3
“+”
T1
C
T7
T2
“-”
Out
RC
IC=f(UBE)
T4
T8
C
U+
~
UBE
~
T6
U-
-UB
Bild 3.10: Links: Architektur des Current Feedback Amplifiers, rechts: Prinzipschaltung der Eingänge
In der Eingangsstufe sucht man vergebens nach einem klassischen Differenzverstärker mit seiner
symmetrischen Struktur. Statt dessen begegnen einem vier Transistoren T1 bis T4, die diese Funktion
wahrnehmen. Die Schaltung ist „um die Mitte herum“ gespiegelt aufgebaut. Deshalb genügt eine
Betrachtung der halben Schaltung für das Verständnis. Den nichtinvertierenden Eingang bilden die
Basissanschlüsse der Transistoren T1 und T2. Der Emitteranschluß von T2 (T1) ist mit der Basis von
T3 (T4) verbunden, der Kollektor liegt an der Betriebsspannung. Es liegt eine Kollektorschaltung vor
mit hohem Eingangswiderstand und der Spannungsverstärkung von 1. Nun zum Transistor T3 (T4).
Sein Emitter bildet den invertierenden Eingang. Das Eingangssignal des invertierenden Eingangs wird
dem Verstärker also am Emitter dieses Transistors zugeführt. Am Kollektor des Transistors T3 liegt
das verstärkte Differenzsignal und wird an die Endstufe, bestehend aus T5 (T6) und T7 (T8)
weitergeleitet.
Man kann sich die Verhältnisse auch anhand des Prinzipschaltbildes 3.10 rechts klarmachen: Der
Kollektorstrom IC ist direkt von UBE abhängig und damit auch der Spannungsabfall an RC (das
"Ausgangssignal"). Die Spannung UBE setzt sich aus den beiden Spannungen U+ und U- zusammen.
Ändern sich beide Spannungen im gleichen Sinne um den gleichen Betrag (Gleichtaktbetrieb), so
bleibt UBE konstant und der Kollektorstrom ändert sich nicht. Ändern sich die Spannungen im
entgegengesetzten Sinn (Differenzbetrieb), so ändern sich UBE, IC und damit auch der
Spannungsabfall an RC. Wir haben hier also die Funktion des Differenzverstärkers, allerdings sind die
Eingangswiderstände unterschiedlich groß: Die Spannungsquelle "sieht" den vergleichwweise großen
33
Eingangswiderstand der Emitterschaltung, die Quelle U- den kleinen Eingangswiderstand der
Basisschaltung.
Denkt man sich an den invertierenden Eingang eine Signalquelle mit einem Innenwiderstand
angeschlossen und speist den nichtinvertierenden Eingang ebenfalls mit einem Signal, so fungiert das
Paar T2 und T3 (T1 und T4) als Kollektorschaltung mit dem Innenwiderstand der Signalquelle am
invertierenden Eingang als Last. Diese Kollektorschaltung hat eine Spannungsverstärkung von 1 und
dem geringen Innenwiderstand RIN . Wir finden für den CFA damit das Ersatzschaltbild in Bild 3.11.
+
v=1
iIN
uD
v=1
OUT
Z
RIN
iIN
ua = Z * iIN
_
Bild 3.11 Ersatzschaltbild des CFA
Im linken Teil des Ersatzschaltbildes erkennen wir die Eingangsstufe bestehend aus den Transistoren
T1 bis T4. Sie werden durch den Verstärker mit dem Verstärkungsfaktor v=1 und dem
Innenwiderstand RIN symbolisiert. Der Eingangswiderstand des Verstärkers (am nichtinvertierenden
Eingang) ist unendlich. Setzt man die Spannung am nichtinvertierenden Eingang zu Null und legt eine
Spannung am invertierenden Eingang an, so wird diese Spannung mit dem Widerstand RIN belastet.
Der Fehlerstrom iIN fließt aus dem invertierenden Eingang heraus. Für diesen Strom gilt
iIN =
uD
R IN
Beim gegengekoppelten CFA ist uD klein und damit auch iIN. Eine gesteuerte Stromquelle sendet eine
Kopie des Stromes iIN durch die Impedanz Z. Der Spannungsabfall an Z wird mit den Faktor 1
verstärkt und niederohmig an den Ausgang OUT weitergegeben. Z ist eine Verstärkereigenschaft und
kann aus dem Datenblatt ermittelt werden. Z besteht aus einer Parallelschaltung eines Widerstandes
mit einem Kondensator, man nennt Z auch „Transimpedanz“.
3. 3. 2. 2 Beschalteter CFA
Nun wollen wir die invertierende Grundschaltung mit einem CFA durchrechnen. Der grundlegende
Unterschied zum VFA ist die Erzeugung der Ausgangsspannung ua. Sie ist keine Funktion von uD
mehr, sondern eine Funktion von iIN.
ua = Z * iIN
34
Das Schaltbild zeigt Bild 3.12.
R2
R1
_
iIN
ue
OUT
+
ua
Bild 3.12: Invertierende Grundschaltung mit einem CFA
Haben wir beim VFA den Eingangsstrom des Operationsverstärkers bei endlichem uD zu Null gesetzt,
so setzen wir jetzt uD gleich Null und iIN ungleich 0.
Eine Knotenanalyse ergibt dann
ua
ue
+ iIN = −
R1
R2
und mit
iIN =
ua
Z
erhält man dann
ue ua
u
+
=− a
R1 Z
R2
ue
= −u a
R1
 1
Z + R2
1
* 
+  = −u a *
Z * R2
 R2 Z 
Die Verstärkung der invertierenden Grundschaltung ist dann gleich
v=
ua
Z * R2
R
1
=−
=− 2 *
ue
(Z + R 2 ) * R1
R1 1 + R 2 / Z
Auch hier gilt wieder: Bei idealem Operationsverstärker mit Z gleich unendlich ist die Verstärkung
gleich
v=−
R2
R1
Da hat sich also gegenüber der Schaltung mit dem VFA nichts geändert. Anders sieht jedoch die
Frequenzabhängigkeit der Verstärkung aus:
1
1+ R2 / Z
Die Bandbreite des Verstärkers ist nur noch von R2 abhängig, nicht jedoch von R1.
Beim CFA stellt man die Bandbreite des Verstärkers mit R2 ein und die Verstärkung mit R2/R1.
Zuerst wählt man R2 so, daß bei gegebenem Z die gewünschte Bandbreite erreicht wird und ermittelt
dann R1 derart, daß der gewünschte Verstärkungsfaktor zustande kommt. Bei hohen
35
Frequenzen spielt allerdings die parasitäre Parallelkapazität von R2 ebenfalls eine Rolle. Einen
Wermutstropfen gibt es allerdings: Der Widerstand R2 ist nicht frei wählbar, sondern nur innerhalb
gewisser Grenzen, die dem Datenblatt zu entnehmen sind. Bei manchen Operationsverstärkertypen ist
er sogar fest im Chip integriert.
Viele CFA’s sind mit Halbbleiterprozessen hergestellt, welche nur zwei Betriebsspannungen von ±5
Volt zulassen anstelle der „klassischen“ ±15 Volt. Durch den unsymmetrischen Aufbau der
Eingangsstufe sind die Gleichspannungseigenschaften (Offsetspannung, Offsetstrom) der CFA’s
denen der VFA’s unterlegen. Dafür sind sie im Bereich hoher Frequenzen deutlich besser.
Einen weiteren Nachteil muß der Chronist bei den CFA’s vermelden: Da die Grenzfrequenz sehr hoch
ist, besteht eine starke Schwingneigung und man muß peinlich genau auf einwandfreie
Leiterbahnführung und gute Entkopplung achten, was bei den meisten VFA’s nicht unbedingt
notwendig ist. Ein Musterlayout zeigt Bild 3.13, welches dem Applikationsbericht AN9663 von Intersil
entnommen wurde. Es zeigt das Layout einer kleinen Experimentierplatine.
Bild 3.13: Evaluation Board
Es ist eine zweiseitige Leiterplatte mit einer ausgeprägten Masseebene. Abblockkondensatoren liegen
in unmittelbarer Nähe des IC’s. Die Hinweise zur Leiterbahnführung und den Abblockkondensatoren
erstrecken sich im Applikationsbericht über eine ganze Seite.
3.4 Eigenschaften des Operationsverstärkers
Operationsverstärker weisen eine hohe Differenzverstärkung und eine niedrige Gleichtaktverstärkung
auf (Bilder 3.14 und 3.15). Die Kennlinie zeigt die simulierte Differenzverstärkung des Operationsverstärkers µA741. Sie beträgt für das benutzte Simulationsmodell 198563 oder 106dB. Sie ist bei
guten Operationsverstärkern linear. Man sieht, daß ohne Gegenkopplung eine Differenzspannung von
etwa 72 Mikrovolt ausreicht, um den Verstärker auf eine Ausgangsspannung von 14.3 Volt Ausgangsspannung auszusteuern. Nähert sich die Ausgangsspannung der Betriebsspannung, so wird die Kennlinie durch Sättigungseffekte nichtlinear. Die in Bild 3.15 dargestellte Kennlinie ist die
Gleichtaktverstärkungskennlinie. Im vorliegenden Fall findet man eine Gleichtaktverstärkung von etwa
6.29 oder 16dB. Schließt man die Eingänge des Operationsverstärkers kurz und variiert ihre Spannung
um 1 Volt gegen Masse, so erhält man eine Ausgangsspannung von 6.29 Volt. Die
Gleichtaktverstärkung setzt sich aus zwei Anteilen zusammen: Dem weiter oben formelmäßig erfaßten
Anteil des gegengekoppelten Transistors sowie einem zweiten Anteil, der aus Unsymmetrien im
Differenzverstärker herrührt. Deshalb kann man die Gleichtaktverstärkung nicht exakt
vorausbestimmen sondern lediglich die hergestellten Operationsverstärker testen und alle
Bauelemente, deren Gleichtaktverstärkung einen bestimmten Grenzwert überschreitet, aussondern.
36
Differenzverstaerkung des uA741
20V
(71.831u, 14.263)
10V
0V
vD = 106dB
-10V
(-71.831u, -14.263)
-20V
-80uV
-60uV
V (OUT)
-40uV
-20uV
0V
20uV
40uV
60uV
80uV
VIN
Bild 3.14: Kennlinien des Operationsverstärkers: Differenzverstärkung
Gleichtaktverstärkung des uA741
10V
(-2.363, 14.310)
vGl = 16dB
0V
(2.1767, -14.251)
-10V
-2.0V
V (OUT)
-1.0V
0.0V
1.0V
VGL
Bild 3.15: Kennlinien des Operationsverstärkers: Gleichtaktverstärkung
37
2.0V
Ein Maß für die Präzision des Operationsverstärkers ist die bereits weiter oben erwähnte
Gleichtaktunterdrückung G (Common Mode Rejection Ratio, CMRR), für die gilt:
G=
vD
v Gl
G / dB = 20 * log
vD
v Gl
Sie liegt bei Standardoperationsverstärkern in der Größenordnung von 104 oder 80 dB, kann jedoch
bei Präzisionsverstärkern ohne weiteres 120 dB oder mehr betragen. Im vorliegenden Fall findet man
eine Gleichtaktunterdrückung von knapp 90 dB. Bei einer Gleichtaktunterdrückung von 80 dB erzeugt
eine Differenzspannung von 100 µV das gleiche Ausgangssignal wie eine Gleichtaktspannung von 1
Volt. Ein weiteres Maß für die Güte eines Operationsverstärkers ist die Power Supply Rejection
Ratio (PSRR), welche angibt, wie stark sich Änderungen der Betriebsspannung auf die
Ausgangsspannung auswirken. Die PSRR liegt in der gleichen Größenordnung wie die
Gleichtaktunterdrückung.
Schließt man die Eingänge des Operationsverstärkers kurz und legt sie an Masse, so müßte theoretisch die Ausgangsspannung ebenfalls gleich Null sein, da weder ein Differenz- noch ein Gleichtaktsignal vorliegt. In Wirklichkeit ist die Ausgangsspannung ungleich Null. Es kann - bei großen
Verstärkungen - sogar vorkommen, daß die Ausgangsspannung fast gleich der positiven oder negativen Betriebsspannung ist: Der Operationsverstärker ist übersteuert. Man kann sich nun eine kleine
Spannung am Differenzeingang vorstellen, welche dieses Ausgangssignal verursacht. Diese
Spannung nennt man Offsetspannung U0. Sie wird beim unbeschalteten OP mit vD verstärkt, beim
beschalteten OP mit der resultierenden Verstärkung v. Diese Offsetspannung kann unter Umständen
störend sein und muß dann kompensiert werden. Viele Operationsverstärker haben zu diesem Zweck
spezielle Eingänge, an die bei Bedarf ein Trimmer angeschlossen werden kann. Bild 3.16a zeigt ein
Prinzipschaltbild zur Definition der Offsetspannung, Bild 3.16b die Offsetspannungskompensation bei
Operationsverstärkern vom Typ µA741. Der hier an den Anschlüssen „Offset Null“ eingezeichnete
Trimmer ist der in Bild 3.6 gestrichelt gezeichnete. Diese Art der Kompensation funktioniert leider nur
bei VFA’s mit symmetrierbarem Differenzverstärker.
_
OUT
+
=
ua
+UB
UO
RO
R2
a)
R1
_
_
OUT
+
"Offset Null"
+
ue
OUT
"Offset Null"
-UB
-UB
b)
ua
c)
Bild 3.16: Definition der Offsetspannung (a) und deren Kompensation beim VFA (b) und CFA (c)
Bei CFA’s addiert man zum Signal eine Hilfsspannung, die so groß ist, daß die Offsetspannung
kompensiert wird. Bild 3.16c zeigt dies für die invertierende Grundschaltung. An den Dioden fällt eine
Spannung von 2*0.7 Volt ab. Über einen Trimmer und den Widerstand RO gibt man diese Spannung
an den invertierenden Eingang.
38
Kompensiert werden die Offsetspannungen bei einer Signalspannnung von Null Volt. Man schließt den
Signaleingang nach Masse kurz und stellt mit Hilfe des Trimmers eine Ausgangsspannung von Null
Volt her. Für eine bestimmte Betriebsspannung und eine bestimmte Temperatur läßt sich die
Offsetspannung durch solche Maßnahmen kompensieren. Leider ist die Offsetspannung von der Zeit,
der Temperatur und der Betriebsspannung abhängig. Als Offsetspannungsdrift dUO(t,T,UB) definiert
man
dUO =
∂ UO
∂ UO
∂ UO
* dt +
* dT +
* dUB
∂t
∂T
∂UB
Der erste Term ist die Langzeitdrift, welche durch Alterungseffekte bewirkt wird. Sie hat Werte von 10
µV bis 1 mV pro Tag. Der zweite Term ist der Temperaturkoeffizient, der in der Größenordnung von
1 µV bis 100 µV pro Kelvin liegt. Der letzte Term gibt den Einfluß von Schwankungen der Betriebsspannung an, Zahlenwerte sind 10 µV/V bis 1 mV/V.
Einen weiteren Störfaktor stellen die Eingangsruheströme dar. Sowohl der nichtinvertierende als
auch der invertierende Eingang ziehen einen Eingangsruhestrom, IP und IN. Als Ruhestrom IBias
bezeichnet man den Mittelwert aus beiden Strömen, als Offsetstrom IOffset die Differenz beider
Ströme. Ein Offsetstrom ist immer dann vorhanden, wenn die Eingangsruheströme nicht gleich sind.
IP + IN
2
= IP − IN
IBias =
IOffset
Die Ströme IP und IN sind bei bipolaren Verstärkern mit VFA-Architektur die Basisruheströme der
Eingangstransistoren des Differenzverstärkers und liegen daher im hohen Nanoampere- oder
niedrigem Mikroamperebereich. Bei Operationsverstärkern mit FET-Eingangen sind diese Ströme im
normalen Temperaturbereich vernachlässigbar klein. Für CFA-Strukturen sind die Ströme IN und IP
sehr unterschiedlich. Für den OPA623 gibt der Hersteller Burr Brown einen Strom IP von 5µA an
(Basisanschluß) und für IN einen Strom von 25µA (Emitteranschluß).
Bei hohen Verstärkungen und/oder hohem Innenwiderstand der Eingangsspannungsquelle können
sich diese Ströme störend auf die Ausgangsspannung auswirken, da sie Spannungsabfälle an den
angeschlossenen Widerständen verursachen und so - ähnlich wie die Offsetspannung - eine
Eingangsspannung vortäuschen. Eine Abhilfe bietet das Symmetrieren der Eingangsbeschaltung. Man
legt beispielsweise in der invertierenden Grundschaltung zwischen Masse und dem in dieser
Grundschaltung normalerweise geerdeten nichtinvertierenden Eingang einen Widerstand R3, dessen
Wert genauso groß ist wie der resultierende Widerstand aus Eingangsbeschaltung und Rückkopplung
(Bild 3.17). Auf diese Weise sind die durch die Ruheströme bedingten Spannungsabfälle am
invertierenden und nichtinvertierenden Eingang gleich groß und heben sich auf. Der Kondensator C
unterdrückt Rauschanteile.
R2
Ri
R1
IN
_
OUT
uL
~
ue
IP
R3
C
+
ua
Bild 3.17: Kompensation der Eingangsruheströme bei einem invertierenden Verstärker
39
Für den Widerstand R3 muß gelten:
R 3 = (R 1 + R i ) II R 2 =
(R1 + R i ) * R 2
R1 + R i + R 2
Ist der Innenwiderstand der steuernden Quelle zu groß, so müssen auch zu große Widerstandswerte
für R3 benutzt werden. Man weicht dann besser auf Operationsverstärker mit FET-Eingängen aus,
deren Ruheströme um Größenordnungen kleiner sind als die bipolarer Schaltungen.
3.5 Frequenzkompensation des Operationsverstärkers
Obwohl Operationsverstärker bereits vielfach frequenzkompensiert angeboten werden, sollen die
grundsätzlichen Überlegungen zur Frequenzkompensation hier diskutiert werden. Aufgrund parasitärer
Kapazitäten im Innern der Schaltung und des mehrstufigen Aufbaues verhalten sich Operationsverstärker wie Tiefpässe höherer Ordnung. Die Differenzverstärkung vD ist eine Funktion der
Frequenz f.
kk
U(f)
umeß
~
-1
k*umeß
R1
1
C1
R2
C2
1
R3
vD
C3
f
Bild 3.18: Ersatzschaltbild der aufgetrennten Rückkopplungsschleife
Bild 3.18 zeigt das Ersatzschaltbild der aufgetrennten Rückkopplungsschleife aus Bild 3.2. Die
Meßspannung umeß sei nicht mehr sinusförmig, sondern ein weißes Rauschen. Beim weißen
Rauschen sind alle spektralen Anteile von f=0 bis f=∞ gleich groß. Diese Rauschquelle soll alle
internen Rauschquellen im realen Operationsverstärker darstellen.
Zuerst wird die Meßspannung um den Faktor k heruntergeteilt. Die Spannung k*umeß liegt am
Eingang des Subtrahierers (Differenzverstärkers) an. Dieser wird durch den Trennverstärker mit dem
Verstärkungsfaktor -1 symbolisiert. Er hat wie alle anderen Trennverstärker im Bild einen unendlich
großen Eingangswiderstand und einen Ausgangswiderstand von 0. Nun folgen drei RC-Glieder, jeweils
durch Trennverstärker mit v=1 voneinander separiert. Den Abschluß bildet ein Trennverstärker mit
dem Verstärkungsfaktor IvDI.
Für den Frequenzgang der gesamten Kette gilt
ua
1
1
1
= −k * v D *
*
*
u meß
1 + j ωR 1C1 1 + j ωR 2 C 2 1 + j ωR 3 C 3
Das Bodediagramm der Ausgangsspannung ist in Bild 3.19 dargestellt. Es handelt sich dabei um das
zusammengesetzte Bodidiagramm dreier Tiefpässe. In linearen Bereich multiplizieren sich die
Frequenzgänge des Amplitudenverlaufes der Tiefpässe, im logarithmischen Bereich addieren sie sich.
Noch einmal zur Wiederholung: Der Amplitudenverlauf eines RC-Tiefpasses kann im Bodediagramm
durch zwei Geraden angenähert werden: Eine Gerade auf der Frequenzachse bis zu f=1/2πRC und
von dort an durch eine Gerade mit einem Abfall von 20dB pro Dekade. Bei niedrigen Frequenzen ist
die Phasenverschiebung zwischen Ausgangs- und Eingangsspannung etwa 0 Grad, bei der
Eckfrequenz –45 Grad und für f gegen unendlich gleich –90 Grad. Nun zu Bild 3.19:
40
ua
150
-180d
0 dB
f1
-225° (-45°)
Amplitude vD (f)
-230d
100
-20 dB/Dekade
Phase φ (f)
-280d
-315° (-135°)
log vD
50
-40 dB/Dekade
-330d
f2
-360° (-180)°
5.94dB
0
-380d
f3
-60 dB/Dekade
-50
-430d
1.0h
10h
100h
1.0Kh
10kH
100Kh
1.0Mh
10Mh
Bild 3.19: Bodediagramm der Schleifenverstärkung mit k=1
Die Grenzfrequenzen R*C der drei Tiefpässe liegen bei 10 Hertz, 100 kHz und 5 MHz. Diese
Frequenzen wurden so gewählt, daß sie einerseits einigermaßen realistisch sind und andererseits
recht gut darstellbar. Amplituden- und Phasengang wurden in einem Bild mit zwei y-Achsen
zusammengefaßt.
Das Minuszeichen in der Formel bedeutet eine Phasenverschiebung von –180 Grad, so daß wir nicht
bei 0 Grad anfangen, sondern bei –180 Grad. Im Bild sind die Phasenverschiebungen mit dem
Minuszeichen dargestellt und in Klammern die Phasenverschiebung ohne das Minuszeichen (nur die
Tiefpässe).
6
Bei f=0 ist die Differenzverstärkung gleich 120dB (10 ), die Phasenverschiebung beträgt –180 Grad.
Bis hin zur ersten Grenzfrequenz von 10 Hz bleibt die Verstärkung konstant, die Phasenverschiebung
wird zu –225 Grad (-45 Grad). Nun sinkt die Verstärkung aufgrund des ersten Tiefpasses um 20 dB
pro Dekade ab. Die beiden anderen Tiefpässe spielen wegen ihrer hohen Eckfrequenzen noch keine
Rolle. Irgenwann bleibt dann die Phase bei –270 Grad (-90 Grad). Dann macht sich der zweite
Tiefpass bemerkbar: Zuerst sinkt die Phase ab und bei der zweiten Eckfrequenz, wenn die Phase bei
-315 Grad (-135 Grad) angelangt ist, sinkt der Amplitudengang um 40 dB pro Dekade ab. Nun
vermischen sich auch Phasengang des zweiten und dritten Tiefpasses; der Phasengang des ersten
Tiefpasses spielt keine Rolle mehr. Bei der dritten Eckfrequenz sinkt dann der Amplitudengang der
Verstärkung um 60dB pro Dekade ab.
Natürlich besteht ein genaueres Modell eines OP’s aus noch mehr Tiefpässen, wichtige Schlüsse sind
aber nur aus den drei Tiefpässen mit den niedrigsten Eckfrequenzen zu ziehen, so daß eine genauere
Darstellung nicht erforderlich ist.
Irgendwo zwischen der zweiten und der dritten Eckfrequenz befindet sich eine besondere Frequenz:
Das ist die Frequenz, bei der die Phasenverschiebung zwischen Ausgangs- und Eingangsspannung
gleich –360 Grad (-180 Grad) ist. Eine Phasenverschiebung von 360 Grad ist gleich einer
Phasenverschiebung von Null Grad: Eingangsspannung und Ausgangsspannung haben die gleiche
Phasenlage.
41
Dies können wir uns an Bild 3.18 noch einmal deutlich machen. Wir speisen ein sinusförmiges Signal
umeß mit dieser Frequenz in die Schaltung ein und betrachten die Ausgangsspannung. Aus Bild 3.19
ergibt sich, daß die Schleifenverstärkung gleich 5.94dB ist, dies entspricht im linearen Maßstab etwa
dem Faktor 2. Speisen wir als umeß eine Amplitude von 1mV ein, so ist die Amplitude der
Ausgangsspannung gleich 2mV und die Phasenverschiebung 0 Grad. Nun muß man beachten, was
geschieht, wenn die Schleife nicht aufgetrennt ist (Bild 3.1). Die Ausgangsspannung von 2mV ist die
neue Eingangsspannung in der Schleife, wird mit dem Faktor 2 verstärkt und erscheint am Ausgang
mit einer Amplitude von 4mV. Dieses Signal wird wieder verstärkt auf 8mV und so weiter und weiter.
Bei jedem Durchlaufen der geschlossenen Schleife schaukelt sich das Signal immer stärker auf – die
Schaltung schwingt. Aus der Gegenkopplung – der Abschwächer k war ja an den invertierenden
Eingang angeschlossen – ist durch interne Phasenverschiebungen im Operationsverstärker eine
Mitkopplung geworden.
Wir können eine untere Grenze der Verstärkung angeben, bei der die Schaltung gerade zu schwingen
anfängt: Ik*vDI=1 bei der Frequenz, bei der die Phasenverschiebung zwischen Ausgangs- und
Eingangsspannung gleich Null ist. Ist die Verstärkung bei dieser Frequenz kleiner als 1, so ist die
Schaltung stabil.
Wie kann man einen Operationsverstärker mit dem oben gezeigten Frequenzgang so abändern, daß
die Schaltung stabil bleibt? Man setzt die erste Eckfrequenz so stark herab, daß die Verstärkung bei
der Frequenz, bei der die Phasendrehung -360 Grad (-180 Grad) beträgt, kleiner als 1 ist. Diesen
Vorgang nennt man Kompensation. Dies geschieht durch den Einsatz eines Kondensators C an einer
geeigneten Stelle in der Schaltung. Dabei kann dieser Kondensator innerhalb des Chips vorgesehen
werden oder man sieht zwei Anschlußpins vor, um einen Kompensationskondensator nach Wahl
anzuschließen. Bild 3.20 zeigt den Amplitudengang beim unkompensierten und kompensierten
Operationsverstärker.
*
f1
f1
Änderung der ersten
Eckfrequenz
unkompensiert
f2
-40 dB/Dekade
kompensiert
-360° (-180)°
5.94dB
Absinken der
Differenzverstärkung
1.0h
10h
100h
1.0Kh
10kH
100Kh
1.0Mh
f3
10Mh
Bild 3.20: Amplitudengang des unkompensierten und kompensierten Operationsverstärkers
Der Phasengang ist im interessierenden Frequenzbereich der gleiche geblieben, da er vom ersten
Tiefpaß ohnehin nicht mehr abhängig war.
42
Bild 3.21 entspricht dem Bild 3.19, nur sind hier noch zwei Geraden eingezeichnet: v=10 und v=1. Dies
entspricht im logarithmischen Maßstab 20dB und 0dB. Der Phasengang ist der am nichtinvertierenden
Eingang (180 Grad von Bild 3.19 abgezogen).
Operationsverstärker mit drei Eckfrequenzen
1
150
2
0d
f1
0 dB
-45°
Amplitude vD (f)
-50d
100
-20 dB/Dekade
Phase φ (f)
-100d
-135°
log g
50
log vD
-40 dB/Dekade
-150d
f2
v=const=10 (20 dB)
-180°
5.94dB
log v
v=const=1 (0 dB)
0
-200d
f3
-60 dB/Dekade
-50
-250d
1.0h
10h
100h
1.0Kh
10kH
20*log10(V(OUT) / (V(NINV)-V(INV)))
0
100Kh
1.0Mh
10Mh
P(V(OUT))
Frequency
Bild 3.21: Beschalteter Operationsverstärker
Diese beiden Geraden entsprechen der Verstärkung eines beschalteten Operationsverstärkers,
dessen Rückkopplung so ausgelegt ist, daß die Verstärkung der Gesamtschaltung gleich 10 bzw. 1 ist.
Die Formel für die Verstärkung eines beschalteten Operationsverstärkers als Funktion von k und vD
lautete
v=
ua
vD
v
=
= D
ue 1 + k * v D 1 + g
mit der Schleifenverstärkung g=k*vD. Ist IgI wesentlich größer als 1, so kann man schreiben
v=
vD
g
Wenn k eine rein ohmsche Rückkopplung darstellt, haben g und vD den gleichen Phasengang und es
gilt:
v=
oder logarithmisch
vD
g
lg(v ) = lg(v D ) − lg(g)
43
und damit
lg(v D ) = lg(v ) + lg(g)
Diese Logarithmen kann man sich als Strecken vorstellen, die man im Bild 3.21 wiederfindet: Die
Strecke lg (vD) im Bild 3.12 ist aufgeteilt in die Teilstrecke lg (v) und die Teilstrecke lg (g) für v = 10.
Dabei sei v = const für ohmsche Rückkopplung, die Schleifenverstärkung g und damit auch lg (g)
hingegen weisen einen Frequenzgang auf. Die Mitkopplung tritt auf, wenn für eine
Phasenverschiebung von -180° die Schleifenverstärku ng g größer oder gleich 1 ist:
v=
vD
→∞
1+ g
für g → −1
Wenn g gegen -1 strebt, so wird der Nenner des obigen Bruches zu 0. Dies ist die Schwingbedingung nach Barkhausen: Der Verstärker beginnt zu schwingen. Ist g kleiner als -1 (also z. B.
-2), so kommt es zu einem Aufschaukeln von Schwingungen derart, daß die "effektive Schleifenverstärkung" g zu -1 wird. Die Schwingungen sind dann nicht mehr sinusförmig, sondern verzerrt. Das
Absinken der Schleifenverstärkung kommt daher, daß die Verstärkung vD mit steigender Aussteuerung des Operationsverstärkers absinkt. Die genaue Berechnung der Kurvenform verlangt jedoch
sehr eingehende mathematische Kenntnisse.
Was bedeutet nun die Aussage: "Wenn die Phasenverschiebung zwischen Eingangs- und Ausgangsspannung 180° beträgt, dann muß der Betrag der Schl eifenverstärkung kleiner als 1 sein; g=1 ist dabei
die Grenze"? Wenn der Betrag der Schleifenverstärkung gleich 1 ist, so ist der Logarithmus der
Schleifenverstärkung gleich 0. Ein Verstärker ist somit dann für eine bestimmte Verstärkung v stabil,
wenn der Schnittpunkt der Geraden v=const mit der Kurve vD(f) in der Kurve links vom Punkt
"Phasenverschiebung = -180°" liegt. Dann ist nämlic h lg g gleich 0, bevor die Phasenverschiebung
-180° erreicht. Der Grenzfall liegt dann vor, wenn sich die Gerade und die Kurve bei derjenigen
Frequenz schneiden, bei der die Phasenverschiebung -180° erreicht.
Zurück zu Bild 3.21. Dort sind zwei Geraden v=const eingezeichnet: v=10 mit lg (v) = 1 und v=1 mit
lg(v) = 0. Wir finden dort zwischen 100kHz und 1MHz die Angabe 5.94dB und -180°. An dieser Stelle
ist die Verstärkung vD gleich 5.94dB (linear 1.98, exakt 1.9561) und die Phasenverschiebung zwischen
Ausgangsspannung und Eingangsspannung -180 Grad. Links von dieser Frequenz ist der Schnittpunkt
der Kurve vD(f) und v=const=10. Eine Verstärkerschaltung, welche mit diesem Operationsverstärker
aufgebaut ist und eine Verstärkung von 10 hat, ist stabil und schwingt nicht. Rechts von dieser
Frequenz schneiden sich vD(f) und die Gerade v=const=1. Eine Verstärkerschaltung, die mit diesem
Operationsverstärker aufgebaut ist und eine Verstärkung von 1 aufweist, ist nicht stabil und schwingt.
Man erkennt, daß die Schaltung mit obigem Operationsverstärker eine Verstärkung von minimal
1.9561 aufweisen muß, um stabil zu sein. Dies bedeutet, daß eine Schaltung mit einem bestimmten
Operationsverstärker eine minimale Verstärkung vmin aufweisen muß, um stabil zu sein. Alle
Schaltungen mit v>vmin sind stabil, alle Schaltungen mit v<vmin instabil.
Kompensiert man einen Operationsverstärker, so sinkt vD(f) ab und damit g. Bei geeigneter
Kompensation ist der Verstärker bereits stabil, wenn die resultierende Verstärkung v gleich 1 ist. Diese
Art der Kompensation nennt man Universalkompensation.
Bild 3.22 zeigt eine Simulation dreier Verstärkerschaltungen mit v = 1.9561, 155 und 9999. Als Operationsverstärker wurde dabei das linearisierte Modell benutzt, welches schon zur Simulation für Bild
3.21 herangezogen wurde.
44
Operationsverstaerker mit drei Eckfrequenzen
1.033V
v≈ 1.9561; Phasenreserve 0°
-1.846V
V (OUT)@1/1.9561
0.272V
v = 154.991; Phasenreserve 60°
-1.219V
V (OUT)@2/154.991
0V
v = 9999; Phasenreserve 90°
-1.0V
V (OUT)@3/9999
1.0V
Eingangsspannung
0V
0s
0.2ms
0.4ms
V (EIN)@1
0.6ms
0.8ms
1.0ms
1.2ms
Time
Bild 3.22: Simulation dreier Verstärkerschaltungen mit unterschiedlichen Verstärkungen
Es wurde bei einer vorherigen Simulation festgestellt, daß der Phasenwinkel von vD folgenden Verlauf
aufwies:
f = 1K
f = 56.234K
f = 707.946K
VD = 9999
φ(vD) = -90 Grad
VD = 154.991 φ(vD) = -120 Grad
VD = 1.9561
φ(vD) = -180 Grad
Diese Angaben lassen sich folgendermaßen interpretieren: Wählt man eine Verstärkung v von 9999,
so wird g bereits bei einer Phasenverschiebung von -90° zwischen Ausgangsspannung und Differenzspannung zu 1. Es fehlen noch 90° zur kritischen Ph asenverschiebung von -180°. Man verfügt über
eine Phasenreserve α von 90 Grad. Baut man einen Verstärker mit einer Verstärkung von 154.991
auf, so betragt bei g=1 die Phasenverschiebung -120°. es fehlen noch 60 Grad zu -180°. Man hat eine
Phasenreserve α von 60 Grad. Bei einer Verstärkung von 1.9561 schließlich beträgt die Phasenreserve 0°. Bei der Simulation wurde die Antwort ei nes invertierenden Verstärkers auf einen fast rechteckförmigen Impuls mit der Dauer 1msec und Anstiegs- und Abfallzeiten von jeweils 1nsec simuliert.
Man erkennt, daß bei einer Phasenreserve von 0° der Verstärker an den Impulsflanken ins Schwingen
gerät, der Impuls wird sehr stark verzerrt. Dies liegt daran, daß bei einer Sprungfunktion von 0 auf U
ein sehr breites Spektrum an Oberwellen vorhanden ist. Bei Frequenzen in der Nähe der Frequenz, bei
der g gleich Null wird, erfolgt dann eine hohe Verstärkung. Bei einer Phasenreserve von 90° hingegen
werden die Anstiegs- und Abfallzeiten der Impulsantwort sehr langsam. Bei einer Phasenreserve von
60° hingegen findet man nur ein kurzes Überschwinge n von wenigen Prozent. Wählt man einmal die
„Formtreue“ der Ausgangsspannung als Qualitätskriterium desr Schaltung, so erkennt man, daß die
Phasenreserve nicht unbedingt minimal sein muß. Es ist sinnvoll, den Frequenzgang eines
Operationsverstärkers derart zu beeinflussen, daß für praktisch vorkommende Verstärkungen eine
Phasenreserve von etwa 60° bleibt. Man spricht von Frequenzkompensation. Eine
Frequenzkompensation bedeutet immer ein Herabsetzen der ersten Grenzfrequenz und damit der
45
Bandbreite. Viele Operationsverstärker werden daher in zwei Versionen angeboten: Einer schnellen,
unkompensierten Version mit hoher Grenzfrequenz und eine kompensierte Version, welche langsamer
ist, jedoch für Verstärkungsfaktoren bis hinunter zu v=1 problemlos anwendbar ist. Als Beispiel seien
hier die Operationsverstärker µA 741 (vmin = 1, fT = 1 MHz) und sein Pendant, der µA 748 (vmin = 10,
fT = 10 MHz) oder die FET-Typen TL081 (vmin = 1, fT = 3 MHz) bzw. sein Gegenstück, der TL080 mit
vmin = 5 und fT = 12 MHz erwähnt.
Die Kompensation, die einen Operationsverstärker für Verstärkungsfaktoren bis hinunter zu v=1
brauchbar macht, nennt man, wie bereits erwähnt, Universalkompensation. Unkompensierte
Operationsverstärker besitzen vielfach externe Anschlüsse, an denen man mit Hilfe eines zusätzlichen
Kondensators eine Frequenzkompensation vornehmen kann. Die Werte dieser externen
Kompensationskondensatoren sind im Datenblatt aufgeführt. Relevant ist der Verlauf von vD bis hin
zur zweiten Eckfrequenz, also die Gerade vD=const bis hin zur ersten Eckfrequenz und die mit 20dB
pro Dekade abfallende Gerade. Der frequenzkompensierte Operationsverstärker verhält sich somit wie
ein Tiefpaß erster Ordnung. Die Verstärkung vD oberhalb von fgvd nimmt um 20 dB pro Dekade ab.
Dies bedeutet, daß sie um den Faktor 10 abnimmt, wenn f um den Faktor 10 zunimmt. Bild 3.23 zeigt
die ausnutzbare Bandbreite eines kompensierten Operationsverstärkers für eine der Verstärkung v
angepaßte Kompensation und für Universalkompensation. Angepaßt kann auch unkompensiert
bedeuten.
vD (f)
angepaßt
universal
v = const
v= 1
fgvd
fgv
fT
fT*
lg f
Bild 3.23: Bandbreite eines beschalteten Operationsverstärkers mit Universalkompensation und
angepaßter Kompensation
Man erkennt die Geraden v=const. und v=1. Dies ist die Verstärkung der Gesamtschaltung. Dort, wo
diese Geraden sich mit mit vD(f) schneiden, sinkt die Verstärkung der Gesamtschaltung (OP plus
Gegenkopplung) genau wie vD um 20 dB pro Dekade ab. Dies bedeutet im linearen Maßstab, daß die
Verstärkung vD um den Faktor 10 abnimmt, wenn die Frequenz um den Faktor 10 ansteigt. Man
erkennt, daß die Bandbreite bei Universalkompensation geringer ist als bei angepaßter Kompensation.
Bei einer Gegenkopplung nimmt die Verstärkung zwar ab, aber die Bandbreite nimmt in gleichem
Maße zu. Es ist
v D * fgvd = v * fgv = 1 * fT
wobei fT die Transitfrequenz und auch die Bandbreite bei der Verstärkung 1 ist. Dies ist in Bild 3.23
am Beispiel des universell kompensierten Operationsverstärkers gezeigt. Allgemein gilt: Je größer die
Verstärkung eines Verstärkers mit einem gegebenen Operationsverstärker ist, desto kleiner ist die
Bandbreite dieses Verstärkers. Die größte überhaupt auftretende Grenzfrequenz ist die für die
Verstärkung 1 und heißt Transitfrequenz. Man nennt fT auch das Verstärkungs-Bandbreite-Produkt.
Die obige Formel für fT gilt nur für VFA’s, bei CFA’s ist fT nicht von v, sondern von R2
abhängig.
46
Dazu ein Beispiel:
Ein Operationsverstärker habe ein Verstärkungs-Bandbreite-Produkt von 1 MHz. Dann können wir
beispielsweise mit diesem OP folgende Verstärker aufbauen:
- einen Verstärker mit v=1 und einer Bandbreite von 1MHz
- einen Verstärker mit v=10 und einer Bandbreite von 100 kHz
- einen Verstärker mit v=100 und einer Bandbreite von 10 kHz
Andere Verstärker sind natürlich auch möglich, aber das Produkt aus Verstärkung und Bandbreite ist
immer gleich 1 MHz.
Bild 3.15 zeigt das Chipfoto des µA741. Die größte Fläche nimmt der Kompensationskondensator ein.
Bild 3.24: Chipfoto des µ741 (nach Fairchild, Chipgröße 56X56 mil)
47
3.6 Großsignalverhalten des Operationsverstärkers, Slew Rate
Die Frequenzkompensation hat nicht nur Einfluß auf die Bandbreite des Operationsverstärkers, sondern beeinflußt auch die Signalanstiegsgeschwindigkeit, die Slew Rate, nachteilig. Bild 3.25 zeigt das
vereinfachte Schaltbild des µA741 mit dem Kompensationskondensator C1.
+UB
20 µA
P
T1
300 µA
T7
T2
OUT
N
C1
T6
A
C2
T5
T3
T4
-UB
Bild 3.25: Vereinfachtes Schaltbild des µA741
Der Kompensationskondensator C1 ist am hochohmigsten Knoten der Schaltung, nämlich dem Ausgang des Differenzverstärkers angebracht. Man kann ihn an Masse anschließen, dann müßte er beim
µA741 eine Kapazität von 80nF aufweisen. Eine solche Kapazität kann man jedoch nicht integrieren.
Deshalb nutzt man den Miller Effekt aus (Bild 3.26): Ein Kondensator mit der Kapazität C zwischen
Kollektor und Basis eines Transistors (hier einfach als Verstärker gezeichnet) wirkt wie eine Kapazität
C* zwischen Basis und Emitter mit der IvuI+1-fachen Kapazität C*. Zusammen mit dem
Innenwiderstand der Signalquelle bildet dieser Kondensator am Eingangs des Verstärkers einen
Tiefpaß mit der Grenzfrequenz fG = 1/(IvuI+1)*C*Ri.
C
Ri
Ri
vu<0
ue
~
vu<0
ua
=
ue
~
(IvuI+1)*C
ua
Bild 3.26: Miller-Effekt
Mit den Schaltungsdaten käme man dann auf eine Kapazität von 320pF. Nun hat das Einfügen dieser
Kapazität jedoch noch einen weiteren Effekt: Der Ausgangswiderstand dieser Transistorstufe wird
verringert und die zweite Eckfrequenz, welche sich aus C2 und diesem Ausgangswiderstand bestimmt,
wird vergrößert: Wenn durch Hinzufügen der Kompensationskapazität die erste Eckfrequenz kleiner
wird, so wird die zweite größer. Diesen Effekt nennt man Pol-Splitting. Man erhält letztlich eine
Kompensationskapazität C1 von 30pF. Dieser Kondensator ist auf dem Chipfoto auch erkennbar.
48
Eine Änderung des Eingangssignals hat nun eine Änderung der Spannung am Kompensationskondensator zur Folge, der ja als Millerkapazität zwischen Basis und Kollektor der
Spannungsverstärkerstufe angeschlossen ist. Dieser Kondensator muß aufgeladen und entladen werden. Um eine möglichst schnelle Änderung der Ausgangsspannung zu erreichen, steuern wir die
Differenzstufe mit einem Rechtecksignal derart hoch aus, daß die Transistoren des
Differenzverstärkers wie ideale Schalter arbeiten: Einer der Transistoren leitet während der andere
sperrt (Bild 3.27).
20 µA
S1
20 µA
S2
S1
20 µA
S2
20 µA
A
A
OUT
vu<0
T3
OUT
vu<0
T4
T3
T4
(IvuI+1)*C1
(IvuI+1)*C1
Bild 3.27: Ersatzschaltbild des Operationsverstärkers bei rechteckförmiger Aussteuerung
Die Endstufe hat eine Spannungsverstärkung von 1, so daß die Spannung am Ausgang des
Verstärkers mit vu gleich der Ausgangsspannung ist.
Zum linken Teil von Bild 3.27: Sperrt der Transistor T1 (S1 offen), so leitet der Transistor T2 (S2
geschlossen). Das Transistorpaar T3 und T4 bildet einen Stromspiegel, der Kollektorstrom des linken
Transistors muß gleich dem Kollektorstrom des rechten Transistors sein. Der Kollektorstrom des
linken Transistors ist gleich Null, da der Schalter S1 geöffnet ist. Der rechte Transistor führt daher
ebenfalls keinen Strom. Der rechte Schalter S2 ist jedoch geschlossen, die Stromquelle liefert 20µA in
den Knoten A hinein. Die einzige Möglichkeit für den Strom besteht darin, den Kondensator C1
aufzuladen. Im rechten Teil finden wir entgegengesetzte Verhältnisse vor. S1 ist geschlossen und S2
geöffnet. Der Transistor T3 erhält einen Referenzstrom von 20 µA. Da der Schalter S2 geöffnet ist,
kann der Transistor T4 nur den Kondensator C1 entladen.
Die Ausgangsspannung des Verstärkers ist, wie bereits erwähnt, gleich ua, da die Endstufe eine
Spannungsverstärkung von 1 hat. Die Änderung der Kondensatorspannung ist gleich der Änderung
der Ausgangsspannung. Man definiert die Slew Rate SR als die schnellstmögliche Änderung der
Ausgangsspannung nach der Zeit. Man erhält bei rechteckförmiger Ansteuerung des
Operationsverstärkers.
d  Q  d I * t  I
 du 
SR =  a 
=   =  K  = K
 dt max dt  C1  dt  C1  C1
Die bedeutet: Selbst wenn man den Operationsverstärker mit einer Rechteckspannung mit unendlich
hoher Flankensteilheit ansteuert, erhält man eine trapezförmige Ausgangsspannung mit endlicher
Flankensteilheit.
49
Setzt man die Werte für den µA 741 ein, so erhält man mit IK=20µA und C1=30pF für die Slew Rate
SR
SR =
20µA
V
= 0.67
30pF
µ sec
eine gute Übereinstimmung mit dem Wert aus dem Datenblatt von 0.6 V/µs. Der CFA CLC430 von
National hingegen erreicht eine Slew Rate von 2000V/µsec.
Bild 3.28 zeigt die Oszillogramme einer invertierenden Grundschaltung mit dem Verstärkungsfaktor
von –10, einem Spitze-Spitze-Wert der Eingangsspannung von 1 Volt und einer Frequenz von 20kHz.
Bild 3.28: Ansteuerung des µA741 mit eine Rechtecksignal
Die oberste Kurve ist die Eingangsspannung, die mittlere die Ausgangsspannung der Schaltung. Man
erkennt sehr deutlich den linearen Anstieg und Abfall der Ausgangsspannung. Die unterste Kurve ist
die Differenzspannung uD, die in den Ansätzen zur Berechnung der Verstärkung immer zu Null
angenommen wird. Bei den transienten Übergangen ist sie nicht gleich Null, sondern kann bis zu
85mV betragen (Abzulesen sind etwa 850 mV, die Spannung wurde jedoch vorher noch um den Faktor
10 verstärkt.) Erst im eingeschwungenen Zustand wird diese Spannung dann zu Null.
Noch eine Bemerkung zur Eingangsspannung. Diese weist unmittelbar nach den Flanken eine
Überhöhung auf, ist also nicht ideal recheckförmig. Das liegt daran, daß in der Meßschaltung der
Signalgenerator einen Innenwiderstand von 50 Ohm hat und einen Spannungsteiler mit dem
Widerstand R1 der invertierenden Grundschaltung bildet. Gemessen wird nicht die Urspannung des
Generators (die ist rechteckförmig), sondern die Klemmenspannung am „Abgriff“ dieses
Spannungsteilers. Am anderen Ende des Spannungsteilers liegen nicht Null Volt, sondern uD.
Unmittelbar nach einer Flanke ist uD nicht gleich Null, sondern recht groß, so daß die gemessene
Eingangsspannung eine leichte Überhöhung aufweist.
50
Nun mag man argumentieren, daß in einer gegebenen Applikation nur sinusförmige Signale
eingespeist werden. Aber auch diese werden möglicherweise verzerrt. Steuert man einen
Operationsverstärker mit einer sinusförmigen Spannung uein aus, so gilt für die Ausgangsspannung
nämlich
ua (t ) = Umax * sin(ωt )
mit der maximalen Anstiegsgeschwindigkeit
dua (t )
dt
max
= 2π * f * Umax
im Bereich des Nulldurchganges der Sinusschwingung. Setzt man die Slew Rate gleich der maximalen
Anstieggeschwindigkeit, so erhält man :
SR = 2π fmax * Umax
Bei vorgebener maximaler Ausgangsspannung erhält man für die maximale Frequenz, bei der die
Sinusform noch nicht verzerrt ist:
fmax =
SR
2π * Umax
und damit bei gegebener Frequenz die maximale Ausgangsspannung, für die eine verzerrungsfreie
Sinusschwingung möglich ist. Für den µA 741 bekommt man mit SR = 0.66 V/µs und einer Ausgangsspannung von 10 Volt den Wert
fmax =
SR
< 10 kHz
2π * Umax
Übersteigt die Frequenz diesen Wert, so treten Anstiegsverzerrungen (Transient Intermodulation, TIM)
auf. Die Kurve ähnelt dann einer Dreieckfunktion und ihre Nulldurchgänge sind phasenverschoben. Als
möglicher Ausweg aus diesem Dilemma kommt bei der VFA-Architektur eine Vergrößerung des
Konstantstromes IK in Frage. Dieser beträgt beispielsweise beim TL080 200 µA statt 20 µA. Bild 3.29
zeigt die Oszillogramme des invertierenden Verstärkers mit v=-10 und dem µA741. Das linke
Oszillogramm wurde mit einer Signalfrequenz von 12 kHz aufgenommen, einem Wert, der knapp über
dem errechneten Wert von 10kHz liegt. Man erkennt bei der Ausgangsspannung bereits eine
geringfügige Verzerrung der Kurvenform, was man sehr deutlich bei uD erkennen kann. Das rechte
Oszillogramm wurde mit f=24kHz aufgenommen und die Ausgangsspannung ist dreieckförmig
geworden.
51
ue (0.5V/cm)
-ua (5V/cm)
ue (0.5V/cm)
-ua (5V/cm)
f=12kHz
uD (50mV/cm)
f=24kHz
uD (50mV/cm)
Bild 3.29: Der Einfluß der Slew Rate auf sinusförmige Ausgangsspannungen
Bei entsprechend hoher Ausgangsspannung ist die Grenze, bei der die transienten Verzerrungen auftreten, deutlich unter der durch die Bandbreite gegebenen Grenzfrequenz. Man spricht hier auch von
Großsignalverhalten und bezeichnet die Bandbreite, die durch die Slew Rate begrenzt wird, auch als
Leistungsbandbreite. Die maximal ohne Verzerrung verstärkbare Frequenz nennt man auch Großsignalgrenzfrequenz. Als maximale Ausgangsspannung ist damit die bei einer bestimmten Betriebsspannung maximal mögliche Ausgangsspannung einzusetzen.
Zum Schluß sind in der folgenden Tabelle noch die wichtigsten technischen Daten einiger ausgewählter Operationsverstärker aufgeführt. Es handelt sich dabei um Standardbauteile (jellybeans) und
auf spezielle Kennwerte wie Geschwindigkeit und Präzision hin entwickelte VFAs. Dabei ist vmin die
minimale Verstärkung, Umax die maximale Betriebsspannung (±UB), UO die typische Offsetspannung,
CMRR die minimale Gleichtaktunterdrückung, PSRR die minimale Power Supply Rejection Ratio, vD
die minimale Differenzverstärkung und iamax der maximale Ausgangsstrom.
52
Typ
vmin
Umax
V
Standardtypen, bipolar:
µA741
1
36
µA748
10
36
Standardtypen, JFET:
TL080
5
36
TL081
1
36
Bipolar, high precision:
RC4077A
1
44
OP37E
5
44
Bipolar, fast:
AD840K
10
36
CA3450
1
14
JFET, high precision:
OP41E
1
36
OPA627B
1
36
JFET, fast:
AD843B
1
36
OPA605K
50
40
MOSFET:
OP080E
1
16
TL272A
1
18
High Voltage:
OPA445B
1
100
3583
1
300
SR
fT
CMRR
PSRR
vD
iamax
mV
V/µ
µs
MHz
dB
dB
dB
mA
2
2
0.6
6
1.2
10
70
70
76
76
86
94
20
15
2
2
50
13
12
3
80
80
80
80
94
94
30
30
2
0.004
0.01
0.25
17
0.8
63
120
114
110
100
128
120
15
20
3
0.1
8
400
420
400
190
100
50
94
60
104
96
50
75
0.2
0.04
1.3
55
0.5
16
100
106
92
106
120
110
15
30
0.5
0.25
250
94
35
20
100
80
95
74
88
104
50
30
0.4
5 max
0.4
4.5
0.3
2.3
60
70
60
65
100
80
10
10
6
7
1
3 max
10
30
2
5
80
110
80
84
100
94
15
75
8
9
Tabelle 3.1: Operationsverstärker verschiedener Leistungsklassen
Bemerkungen:
1:
2:
3:
4:
5:
6:
7.
8.
9.
*
UO
Unkompensierte Version des µA741
TL081 unkompensiert
Geringste Offsetspannung unter den nicht-chopperstabilisierten Verstärkern
Videoverstärker, Leitungstreiber
niedriger Biasstrom, niedrige Verzerrungen
Elektrometerverstärker, typ. Biasstrom 60 fA, typ. Offsetstrom 10 fA
CMOS jellybean
niedriger Biasstrom, monolithisch
schneller JFET, hybrid
53
1
4
5
Netzlisten:
Differenzverstaerkung des uA741
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
R1 NINV 0 1MEG
R2 INV 0 1MEG
VIN NINV OFFSET DC 0
VOFFSET OFFSET INV
-21.782UV; OFFSETSPANNUNGSKOMPENSATION FUER
MODELL
X1 NINV INV PLUS MINUS OUT UA741
.LIB C:\EDA\SPICE\EVAL.LIB
.OP
.DC LIN VIN -80U 80U 1U
.END
Gleichtaktverstaerkung des uA741
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
VOFFSET NINV INV -21.782UV; OFFSETSPANNUNGSKOMPENSATION FUER MODELL
VGL NINV 0 0V
X1 NINV INV PLUS MINUS OUT UA741
.LIB C:\EDA\SPICE\EVAL.LIB
.OP
.DC LIN VGL -2.7V 2.7V 0.02V
.END
Operationsverstaerker mit drei Eckfrequenzen
.PARAM WERT=1K
* Aeussere Beschaltung:
VPLUS PLUS 0 15V
VMINUS 0
MINUS 15V
VIN NINV INV AC 1UV; ZUR ERMITTLUNG DES FREQUENZGANGES
**VEIN EIN 0 AC 1MV PULSE (0V 1V 0SEC 1NSEC 1NSEC 1MSEC)
**R2
OUT INV {WERT}; STELLT VERSTAERKUNG EIN
**R1
EIN INV 1K
**RMASSE NINV 0 0.001
X1 NINV INV PLUS MINUS OUT OP
* FREQUENZGANG DES OP's:
* F= 1K
VD= 9999 ALPHA = 90 GRAD
* F= 56.234K VD= 154.991 ALPHA = 60 GRAD
* F=707.946K VD= 1.9561 ALPHA = 0 GRAD
.SUBCKT OP NINV INV PLUS MINUS OUT
RIN1 NINV 0 100MEG
RIN2 INV 0 100MEG
54
RPLUS PLUS 0 1MEG
RMINUS MINUS 0 1MEG
E10 O10 0 NINV INV 100; ERSTE ECKFREQUENZ 10 HERTZ
R10 O10 X10 10K
C10 X10 0 1.591549U
E100K O100K 0 X10 0 100; ZWEITE ECKFREQUENZ 100kHz
R100K O100K X100K 1K
C100K X100K 0 1591.549P
E5MEG O5MEG 0 X100K 0 100; DRITTE ECKFREQUENZ 5MHz
R5MEG O5MEG X5MEG 1K
C5MEG X5MEG 0 31.83098P
EOUT OUT 0 X5MEG 0 1
ROUT OUT 0 1MEG
.ENDS
.AC DEC 50 1 10MEG
*.TRAN 1N 1.2M
*.STEP PARAM WERT LIST 1.9561K 155K 9.999MEG
.END
Zusätzliche Literatur zu diesem Kapitel:
Intersil AN9663.pdf
National Semiconductor: 0A-07.pdf
Burr Brown AB-193.pdf
55
4. Datenblätter von Operationsverstärkern
4.1 Einleitung
Im folgenden Kapitel werden die Datenblätter von zwei Operationsverstärkern vorgestellt. Dabei
handelt es sich um den
- µA741 von Texas Instruments (slos094b.pdf)
sowie um den
- OPA623 von Burr Brown (sbos191.pdf)
In Klammern sind die pdf-Dateien angegeben, die diese Datenblätter enthalten. Sie finden sie auch im
Netz unter „Download“ als UA741.pdf und sbos191.pdf. Der erste der beiden Operationsverstärker ist
ein Voltage Feedback Amplifier, der zweite ein Current Feedback Amplifier.
Ein „Norm-Datenblatt“ gibt es nicht. Ein jeder Hersteller schreibt in seine Datenblätter hinein, was er für
wichtig hält und gibt den Größen eigene Bezeichnungen. Deshalb findet man eine Anzahl von Daten,
die in beiden Datenblättern enthalten sind sowie spezielle Daten für das jeweilige Chip. Wir werden
uns nicht alle einzelnen Daten anschauen, sondern nur die wichtigsten. Auch die Reihenfolge der
Besprechung stimmt nicht unbedingt mit der Reihenfolge in der pdf-Datei überein.
4.2 Der µA741 von Texas Instruments
Bild 4.1: Spezifikationen des µA741
56
Der erste Teil des Datenblattes beschreibt die Eigenschaften des Chips, auf die der Hersteller besonders aufmerksam machen möchte:
- Kurzschlußfestigkeit des Ausganges
- Anschlüsse für die Offsetspannungskompensation
- Weiter Spannungsbereich für Differenz- und Gleichtaktspannungen
- Keine Frequenzkompensation notwendig (das bedeutet, daß das Chip universalkompensiert ist.)
- Geringe Verlustleistung
- Kein Latch-Up (Zerstörung des Chips durch Aktivierung parasitärer Thyristoren)
- Austauschbar mit dem µA741 von Fairchild
Im Text werden dann verschiedene Varianten des µA741 vorgestellt:
- µA741C
(0°C<T<70°C)
- µA741I
(-40°C<T<85°C)
- µA741M
(-55°C<T<125°C)
Diese Varianten unterscheiden sich in den unterschiedlichen zulässigen Temperaturbereichen. Das
"C“ bedeutet „Commercial“ und das Chip ist beispielsweise in Geräten der Heimelektronik und der
Büroelektronik untergebracht. „I“ bedeutet „Industrial“. Im industriellen Bereich können die Umweltbedingungen schon etwas härter sein, was im erhöhten Temperaturbereich zum Ausdruck kommt.
Zum Schluß der militärische Bereich wie „M“ oder „Militäry“. Hier ist der weiteste Temperaturbereich
angesiedelt. Natürlich sind diese Bezeichnungen nur Etiketten. Unter der Motorhaube eines schwarzen
Wagens kann es in südlichen Gegenden durchaus zu Temperaturen über 100°C kommen, selbst
wenn dieser Wagen friedlichen Zwecken dient.
Darunter finden wir noch das Schaltsymbol mit wichtigen Anschlüssen
Rechts neben dem Text sind einige Gehäusebauformen vorgestellt. Doch davon später.
Auf der nächsten Seite finden wir dann alle möglichen Liefervarianten.
Bild 4.2: Liefervarianten des µA741
57
Für jeden Temperaturbereich sind die verfügbaren Gehäusebauformen mit den genauen Bestellangaben aufgeführt. Hier erfahren wir auch, daß es den OP mit der Bezeichnung µA741Y auch als
ungebondeten Chip gibt und daß das SO-Gehäuse („D“) für Bestückungsautomaten auch auf Spulen
verfügbar ist.
Bild 4.3: Unterschiedliche Gehäusebauformen
Nun zu den Gehäusebauformen von der ersten Seite. Wir finden dort mehrere Gehäuse mit unterschiedlicher Anzahl von Anschlußpins. Vierzehnpolige, achtpolige und zehnpolige Gehäuse sowie ein
zwanzigpoliges PLCC-Gehäuse. Die genauen Abmessungen kann man in Datenbüchern der Herstellerfirmen nachschlagen. Diese sind für das Layout interessant. Die einzelnen Gehäusebauformen sind
genormt, die Bezeichnungen für diese Bauformen jedoch nicht.
Man unterscheidet zwischen Keramikgehäusen und Plastikgehäusen. Keramikgehäuse halten härteren Umweltbedingungen wie Temperatur und Feuchtigkeit stand, sind aber teurer als Plastikgehäuse.
In der Datei „combo.pdf“ von Linear Technology sind die Gehäusebezeichnungen der Konkurrenz aufgelistet und mit den eigenen verknüpft. Außerdem gibt es dort sehr viel Informationen über alle
Aspekte der einzelnen Gehäuse und deren Lieferung in verschiedenen Verpackungseinheiten
(Plastikröhrchen, Rollen etc.). Deshalb soll uns diese Datei als leicht verfügbare Referenz dienen.
Auf den ersten Seiten finden wir für die Bezeichnung „D“ von TI die Bezeichnung „SO“ von LTI und für
„FK“ das Gegenstück „LCC“. Diese Bauformen können dann nachgeschlagen werden.
Die Abkürzung „SO“ steht für „Small Outline“ und bezeichnet eine Gehäusebauform in SMD-Technologie (Bild 4.4). Ganz typisch sind die „Gullwing“-Kontakte, mit der das Gehäuse auf den Lötpads aufliegt. Die Abstände der Anschlüsse voneinander sind mit 1/20 Zoll gleich 1.27 mm.
58
Bild 4.4: SO-Gehäuse
„PLCC“ bedeutet „Plastic Leadless Chip Carrier“ und bezeichnet eine Gehäusebauform, bei der es
keine Anschlußdrähte gibt. Statt dessen sind die Kontaktierungen direkt am Gehäuse angebracht.
Diese Gehäuse werden in einer entsprechenden Fassung untergebracht (Bild 4.5)
Bild 4.5: PLCC-Gehäuse.
Dies sind nur zwei Beispiele für Gehäusebauformen.
59
Soweit zu den mechanischen Abmessungen der Chips. Nun zu den elektrischen Eigenschaften. Auf
der vierten Seite des Datenblattes finden wir die absoluten Grenzwerte einiger Parameter. Es ist wichtig zu wissen, daß ein Überschreiten eines oder mehrerer dieser Werte zu einer Beschädigung des
Chips führen kann. Diese muß sich nicht unbedingt durch einen sofortigen Totalausfall bemerkbar
machen, sondern kann sich in einer Änderung wichtiger Parameter oder einer Verkürzung der
Lebensdauer äußern.
Bild 4.6: Absolute Grenzwerte des µA741
In den ersten beiden Zeilen wird beschrieben, daß die Maximalwerte der positiven und der negativen
Betriebsspannung 18 Volt bei der C-Version und 22 Volt bei den I- und M-Versionen nicht überschreiten dürfen. Auch die Differenz- und die Gleichtaktspannungen haben bestimmte Maximalwerte
(Zeilen 3 und 4), wobei noch die Anmerkungen 1 bis 3 zu beachten sind. Hier sei nur erwähnt, daß die
Eingangsspannungen niemals positiver als die positive Betriebsspannung und niemals negativer als
die negative Betriebsspannung sein dürfen.
Danach wird die maximale Verlustleistung aufgeführt: Sie ist von der Umgebungstemperatur TA
(Ambient Temperature) abhängig. Oberhalb einer bestimmten Temperatur muß sie pro Grad Celsius
um einige Milliwatt verringert werden. Dies ist ganz unten in einer Tabelle für die verschiedenen
Gehäusebauformen aufgeführt. „N/A“ bedeutet übrigens „Not Available“, „nicht verfügbar“.
Die letzten Zeilen der Tabelle geben maximale Lötdauer und Löttemperatur an.
60
Nun kommen wir zu den eigentlichen technischen Daten (Bild 4.7).
Bild 4.7: Technische Daten des µA741 - Gleichspannungswerte
Diese technischen Daten – hier Parameter genannt – gelten unter bestimmten Testbedingungen (Test
Conditions) und bei einer bestimmten Temperatur. Sie sind aufgeteilt in die Daten für die C-Version
und die I- und M-Versionen. Dabei sind jeweils die Minimal-, Maximal- und typischen Werte aufgeführt.
Am Ende der Tabelle wird noch die Maßeinheit, beispielsweise Volt, angegeben. Wir begnügen uns
hier mit den Daten des µA741C. Die positive und die negative Betriebsspannung betragen bei den
folgenden Angaben jeweils 15 Volt, die „Standardtemperatur“ ist 25° Celsius.
Zuerst finden wir die Offsetspannung VIO. Sie wird bei einer Ausgangsspannung VO von 0 Volt
gemessen und ist typisch gleich 1 mV, kann jedoch bei 25°C bis zu 6mV betragen. Über den vollen
Temperaturbereich kann sie bis auf 7.5mV ansteigen. In der nächsten Zeile ist angegeben, in welchem
Bereich der Offsetspannung durch externe Maßnahmen eine Kompensation durchgeführt werden
kann. Bild 4.8 zeigt eine Kompensationsschaltung. Ein externer Trimmer mit einem Wert von 10kΩ ist
mit dem Schleifer an die negative Betriebsspannung angeschlossen. Die anderen Anschlüsse sind mit
den Kompensationspins N1 und N2 des Operationsverstärkers verbunden.
In der nächsten und übernächsten Zeile sind die Eingangsoffsetströme (Input Offset Current IIO ) und
die Eingangsruheströme IIB angegeben. Der Ruhestrom liegt bei 80nA typisch und 500nA maximal,
der Offsetstrom bei 20nA typisch und 200nA maximal. Auf der Seite 8 des pdf-Dokumentes findet man
die Temperaturabhängigkeit von Bias- und Offsetstrom graphisch dargestellt.
61
Bild 4.8: Offsetspannungskompensation
Der Spannungsbereich VICR für die
Gleichtaktaussteuerung ist mit minimal
±12V und typisch ±13V recht groß und
reicht bis fast an die Betriebsspannungen
heran.
Beim gegengekoppelten Operationsverstärker ist der Ausgangswiderstand klein.
In diesem Teil des Datenblattes haben
wir es jedoch mit dem unbeschalteten
Operationsverstärker zu tun, was durch
die Bezeichnung „open-loop condition“
deutlich wird. In der nächsten Zeile findet
man nun den Maximalwert VOM der
Ausgangsspannung in Abhängigkeit von
einem Lastwiderstand, der nach Masse
geschaltet ist. Wir erkennen eine deutliche Abhängigkeit von der Belastung.
Noch deutlicher wird dies im Bild 4.9, wo
dies graphisch dargestellt ist.
Bild 4.9: Ausgangsspannungsbereich als Funktion der Belastung
Die nächste Zeile gibt die Differenzverstärkung AVD an. Sie beträgt minimal 20.000 (86dB) und
typisch 200.000 (106dB). Dies gilt allerdings nur für niedrige Frequenzen und Betriebsspannungen von
±15 Volt. Die Abhängigkeiten von Frequenzen und Betriebsspannungen zeigt Bild 4.10. Im Bild a kann
man sehr schön den Abfall der Verstärkung um 20dB/Dekade erkennen, wie er im vorherigen Kapitel
beschrieben wurde. Die erste Eckfrequenz liegt bei etwa 4 Hertz. Der Teil der Kurve, bei der die
Verstärkung um 40dB/Dekade abnimmt, ist bereits nicht mehr dargestellt. Im rechten Teil des Bildes
sieht man, daß die Differenzverstärkung mit geringer werdender Betriebsspannung ebenfalls abnimmt.
62
a)
b)
Bild 4.10: Differenzverstärkung als Funktion der Frequenz (a.) und der Betriebsspannung (b)
Die nächsten drei Zeilen der Tabelle handeln von Eingangswiderstand, Ausgangswiderstand und
Eingangskapazität. Der Eingangswiderstand liegt zwischen 300kΩ und 2MΩ, der Ausgangswiderstand
beträgt etwa 75Ω. Dies gilt allerdings für den unbeschalteten OP, bei entsprechender Gegenkopplung
wird der Eingangswiderstand deutlich größer und der Ausgangswiderstand wesentlich kleiner. Bei der
Eingangskapazität muß man in der Realität noch die parasitäre Kapazität der Verdrahtung auf der
Leiterplatte hinzuaddieren.
Die Gleichtaktunterdrückung CMRR (Common Mode Rejection Ratio) liegt zwischen 70dB und 90 dB.
Sie nimmt bei höheren Frequenzen jedoch sehr deutlich ab (Bild 4.12). Dieser Abfall setzt bei etwa 100
Hertz ein.
Bild 4.11: Geichtaktunterdrückung (CMRR) als Funktion der Frequenz
63
Die Abhängigkeit der Ausgangsspannung von den Betriebsspannungen kSVS liegt in der Größenordnung von 30µV/V bis zu 150µV/V bei Betriebsspannungen zwischen ±9V und ±15V. Dies bedeutet,
daß sich die Ausgangsspannung zwischen 30µV und 150µV ändert, wenn sich die Betriebsspannungen um 1 Volt ändern.
Der Kurzschlußstrom IOS Ausgang gegen Masse oder einer der Betriebsspannungen liegt bei 25mA
typisch und 40mA maximal. Der Operationsverstärker belastet jede der Betriebsspannungsquellen mit
einem Strom von 1.7mA bis 2.8mA unter der Voraussetzung, daß an seinem Ausgang keine Last angeschlossen ist. Dabei liegt die Verlustleistung zwischen 50mW und 85mW.
Soweit die „statischen“ Daten. Nun noch kurz zu den dynamischen Daten. Hier wird der Operationsverstärker in der nichtinvertierenden Grundschaltung mit einem Verstärkungsfaktor von v=1 betrieben
(Bild 4.12)
Bild 4.12: Dynamische Daten und Meßschaltung
Die Meßergebnisse zeigt Bild 4.13. Links ist das Kleinsignalverhalten dargestellt. Wir erkennen eine
endlich Anstiegszeit und ein geringes Überschwingen, so wie sich das bei einer Phasenreserve von
etwa 60° auch gehört. Die Anstiegszeit ist, wie übe rall in der Impulstechnik, definiert als der Zeitraum,
den das Signal benötigt, um von 10% des Endwertes auf 90% des Endwertes anzusteigen. Rechts ist
das Großsignalverhalten skizziert. Bei der abfallenden Flanke ändert sich die Spannung um 10 Volt
und benötigt dabei eine Zeit von etwa 20µsec, was einer Slew Rate von 0.5 Volt pro Mikrosekunde
entspricht. Bei der ansteigenden Flanke finden wir einen Bereich, bei dem die Ausgangsspannung sich
mit der gleichen Geschwindigkeit wie die Eingangsspannung ändert. Dieses Verhalten ist typisch für
die nichtinvertierende Grundschaltung und soll hier nicht weiter besprochen werden.
64
Bild 4.13: Kleinsignal- und Großsignalverhalten des µA741
4.3 Der OPA623 von Burr Brown
Nun zum Datenblatt des OPA623. Auch hier finden wir zuerst die hervorstechenden Eigenschaften des
Operationsverstärkers aufgelistet, zusammen mit möglichen Anwendungen. Wir erfahren folgende
Eigenschaften:
- Bandbreite: 350 MHz bei einer Ausgangsspannung von 2.8 Volt Spitze-Spitze (Amplitude 1.4 Volt)
- Hoher Ausgangsstrom von ±70mA
- Slew Rate von 2100V/µsec bei 5 Volt Spitze-Spitze
- Änderung der Differenzverstärkung/des Phasenganges um 0.12% bzw. 0.05°
- Geringer Ruhestrom von ±4mA
- Geringer Eingangsruhestrom von 1.2µA
- Anstiegszeit von 1.9nsec bei 5 Volt Spitze-Spitze
- Einschwingzeit von 9nsec auf 0.1% des Endwertes
65
Die empfohlenen Anwendungen sind
- HDTV-Anwendungen
- Hochgeschwindigkeitsdatenübertragung im digitalen Bereich
- Impuls- und Hochfrequenzverstärker
- Analoge Signalverarbeitung bei hohen Geschwindigkeiten
- Leitungstreiber für 50Ω und 75Ω
- Verstärker in Verteilungen (speist mehrere Leitungen)
- Endstufentreiber für Bildröhren
- Aktive Filter
Man erkennt, daß der OPA623 dem µA741 an Geschwindigkeit und Frequenzgang haushoch
überlegen ist.
Bild 4.14: Eigenschaften und Anwendungen des OPA623
Der OPA623 ist in zwei Varianten lieferbar. Diese unterscheiden sich in der Bestellbezeichnung.
- OPA623AP: 8-poliges Dual In Line –Plastikgehäuse (DIP)
- OPA623AU: 8-poliges Small Outline-Gehäuse (SO)
Das Pinout und ein Photo der Gehäuse zeigt Bild 4.14.
66
Bild 4.15: Pinout und Photo des OPA623
Alle Eingänge sind über zwei Dioden mit der positiven und negativen Betriebsspannung verbunden und
auf diese Art und Weise vor elektrostatischen Entladungen geschützt.
Die absoluten Grenzwerte, die nicht überschritten werden dürfen, betragen für diesen Operationsverstärker:
- Betriebsspannungen ±VCC: ±6 Volt
- Eingangsspannung: ±VCC ±0.7 Volt (dann öffnen Sperrschichten)
- Betriebstemperatur: -40°C bis +85°C
- Lagerungstemperatur: -40°C bis 125°C
- Sperrschichttemperatur: +150°C
- Löttemperatur bei 10 Sekunden Lötzeit: 300°C
Wie bereits beim µA741 erwähnt, bedeutet ein Überschreiten dieser Grenzwerte nicht unbedingt den
sofortigen Totalausfall des Chips, sondern kann in einer Änderung der technischen Daten oder einer
Verkürzung der Lebensdauer resultieren. Diese Verkürzung der Lebensdauer ist besonders unangenehm, da man dies im Entwicklungslabor wahrscheinlich gar nicht bemerkt. Sie stellt sich möglicherweise erst nach Jahren heraus.
Nun zu den elektrischen Daten, die auch in diesem Datenblatt nach DC-Werten und AC-Werten aufgeteilt sind. Im unteren rechten Teil des Bildes 4.14 finden wir eine Schaltung, in der der Widerstand
RIN vorkommt, der dem nichtinvertierenden Eingang vorgeschaltet ist. Dieser Widerstand hat bei den
nun folgenden Angaben einen Wert von 210Ω. Die Betriebsspannung ist gleich ±5 Volt, der Ausgang
wird mit 100Ω belastet und die Umgebungstemperatur beträgt 25°C. Weiter unten sind auch einige
Daten für den vollen Temperaturbereich von –40°C bi s 85°C angegeben, doch diese werden hier nicht
besprochen. Bild 4.16 zeigt die Gleichspannungsspezifikationen.
Noch eine Bemerkung zu den Widerstandswerten. Diese Widerstandswerte sind mit einigen Hundert
Ohm recht klein im Vergleich zu den Werten beim klassischen Operationsverstärker. Man muß aber
bedenken, daß es sich um Schaltungen handelt, die bis in den hohen Megahertzbereich einwandfrei
arbeiten müssen. Bei hohen Frequenzen spielt jedoch die parasitäre Kapazität der Widerstände
bereits eine Rolle. Deshalb nimmt man niedrige Widerstandswerte, so daß die Kapazitäten noch nicht
so sehr ins Gewicht fallen.
67
Bild 4.16: Gleichspannungsspezifikationen des OPA 623
Zuerst ist die Offsetspannung angegeben. Die liegt zwischen –8mV typisch und ±25mV maximal. Es
folgt die Abhängigkeit der Offsetspannung von der Temperatur und die Power Supply Rejection Ratio
(PSRR) in dB. Der nun folgende Eingangsruhestrom des nichtinvertierenden Einganges ist gleich
1.2µA (typisch) und ±4µA maximal. Zur Erinnerung: Dieser Eingang ist der hochohmige Eingang des
CFA’s. Der invertierende (niederohmige) Eingang zieht einen Eingangsruhestrom von 4.5µA typisch
und ±20µA maximal. Hier erkennt man schon sehr deutlich, daß man es nicht mehr mit einem symmetrischen Differenzverstärker am Eingang zu tun hat, sondern mit einer anderen Schaltungstopologie
zur Differenzbildung.
Zwei Beispiele für die Temperaturabhängigkeit der Gleichstromgrößen zeigt Bild 4.17.
Bild 4.17: Temperaturabhängigkeit der Offsetspannung und des Eingangsruhestromes
Der linke Teil des Bildes zeigt die Eingangsruheströme als Funktion der Temperatur. Während sich der
Eingangsruhestrom des nichtinvertierenden Einganges kaum ändert, ist der des invertierenden
Einganges sehr stark temperaturabhängig. Des rechte Bild stellt die Offsetspannung als Aufwärmzeit
dar. Nach etwa zwei Minuten ist die Offsetspannung einigermaßen stabil, auf einen
68
Endwert ist sie aber erst nach etwa 10 Minuten angestiegen. Diese beiden Bilder sind nur eine Auswahl ähnlicher Kurven aus dem Datenblatt.
Die Eingangsimpedanz liegt bei 2.4MΩ typisch, wobei parallel dazu eine Eingangskapazität von 1 pF
liegt. Die Eingangsimpedanz des nichtinvertierenden Einganges als Funktion der Frequenz und die
Ausgangsimpedanz des beschalteten Operationsverstärkers zeigt Bild 4.18. Die Beschaltung ist im
Bild dargestellt.
Bild 4.18: Eingangsimpedanz und Ausgangsimpedanz als Funktion der Frequenz
Die Eingangsimpedanz ist die eines RC-Tiefpasses. Mit wachsender Frequenz leitet der Kondensator
immer besser.
Das Rauschen im Frequenzbereich zwischen 100kHz und 100MHz liegt bei 10nV/√Hz. Diese etwas
eigenartige Dimension rührt daher, daß man das Spannungsrauschen aus einer Rauschleistung
berechnet, die wiederum aus einer Rauschleistungsdichte p(f) bestimmt wird. Die Rauschleistungsdichte hat die Dimension Watt/Hertz, also Wattsekunde und ist eine Energie. Die gesamte Rauschleistung P, die innerhalb des Frequenzbereiches zwischen f1 und f2 aufgebracht wird, ist gleich
f2
P = ∫ p(f ) df
f1
und die äquivalente Rauschspannung ist dann gleich
Ur =
f2
∫ p(f ) df
f1
Die Ausgangsspannung beträgt minimal ±3 Volt und typisch ±3.1 Volt. Dabei ist der typische Ausgangsstrom ±70mA. Die Ausgangsimpedanz bei einem Verstärkungsfaktor von 2 ist gleich 0.12Ω mit
einer Parallelkapazität von 1.5pF (weiter oben in Bild 4.18 als Funktion der Frequenz dargestellt).
Im letzten Block erfahren wir etwas über die Minimal- und Maximalwerte. Der Hersteller garantiert die
Leistungsfähigkeit seines Chips in einem Bereich zwischen ±4.5 Volt und ±5.5 Volt. Bei einem
Spannungsbereich zwischen ±4.0 Volt und ±6.0 Volt ist ein Betrieb mit verschlechterten technischen
Daten möglich.
Zusammenfassend läßt sich sagen, daß der OPA623 dem µA741 an wichtigen Gleichspannungskennwerten unterlegen ist. Seine Stärke liegt in den hohen Frequenzen, die er verarbeiten kann.
69
Nun zum Wechselspannungsverhalten des OPA623 (Bild 4.19). Der Hersteller unterscheidet zwischen
Daten im Frequenzbereich (Frequency Domain) und Daten im Zeitbereich (Time Domain):
Bild 4.19: AC-Spezifikationen des OPA623
Eine sehr wichtige Angabe fehlt allerdings: Die Differenzverstärkung als Funktion der Frequenz. Diese
können wir jedoch den Datenblatt als Kurve entnehmen (Bild 4.20 links)
Bild 4.20: Differenzverstärkung (links) und Gruppenlaufzeit (rechts) als Funktion der Frequenz
70
Die Differenzverstärkung ist mit etwas über 50dB (mehr als 316) nicht gerade sehr groß, hat jedoch
einen großen Frequenzbereich, wenn man einmal davon ausgeht, daß sie unter 300kHz (der unteren
Grenze des Bildes) stabil bei 50dB liegt. Die erste Eckfrequenz läge dann geschätzt bei einigen MHz.
In der ersten Spalte des Bildes 4.19 finden wird der Frequenzgang der Großsignalverstärkung (2.8VSS
bzw. 5 VSS) bei unterschiedlichen Verstärkungsfaktoren angegeben. Leider ist keinerlei Aussage über
die Widerstandswerte gemacht worden, so daß diese Werte nicht eindeutig sind. Analoges gilt für die
nächste Zeile bei der Kleinsignalverstärkung.
Die Gruppenlaufzeit (nächste Zeile, Bild 4.20 rechts) ist bis hin zu sehr hohen Frequenzen nahezu
konstant. Dies hat folgende praktische Bedeutung: Man kann sich ein Signal (beispielsweise einen
Rechteckimpuls) als eine Summe von Einzelsignalen vorstellen. Diese Einzelsignale durchlaufen den
Verstärker alle mit der gleichen Geschwindigkeit. Dies bedeutet, daß das Signal am Verstärkerausgang nahezu unverformt wieder herauskommt. Hätten die einzelnen Spektralanteile unterschiedliche Laufzeiten, so würde der Impuls aufgelöst werden und verlaufen. Führt man ein solches Signal
beispielsweise einer Bildröhre zu, so wird das Bild unschärfer und an senkrechten Rändern gibt es
möglicherweise Farbstreifen.
Zum Schluß noch einige Anmerkungen zum Zeitbereich. Hier sind die Anstiegs- und Abfallzeiten bei
verschiedenen Verstärkungen und Spannungshüben angegeben. Sie sind definiert als die Zeit, die ein
Impuls benötigt, um von 10% des Endwertes auf 90% des Endwertes anzusteigen bzw. abzufallen. Der
Impuls wird aber in der Realität ein Überschwingen zeigen. Deshalb ist noch die Einschwingzeit
(settling time) angegeben. Diese Zeit gibt an, wann der Impuls sich bis auf 0.1% des Endwertes
„beruhigt“ hat.
Die Slew Rate liegt bei 140V/µsec bei kleinen Amplituden und 2100V/µsec bei großen Amplituden.
Bild 4.21: Bandbreite als Funktion der Ausgangsspannungsamplitude und Rauschen
Im Bild 4.21 links sehen wir die Bandbreite als Funktion der Ausgangsspannungsamplitude. Dies hat
direkt mit der Slew Rate zu tun. Da die Slew Rate bei kleinen Amplituden anders als bei großen
Amplituden ist, erweist sich ein solches Diagram als sehr nützlich. Rechts erkennen wir das Rauschspektrum des OPA623. Bei niedrigen Frequenzen haben wir ein 1/f-Rauschen, welches bei höheren
Frequenzen in ein weißes Rauschen übergeht.
Die Erfahrung lehrt, daß die Datenblätter bei der Herstellern von Halbleiterbauelementen sehr unterschiedlich ausfallen. Jeder Hersteller stellt das dar, was ihm wichtig erscheint. Manche Angaben sind
auch nicht klar, was die Meßbedingungen angeht.
Zusätzliche Literatur zu diesem Kapitel: Linear Technology : combo.pdf
71
5. Operationsverstärker: Invertierende und nichtinvertierende Grundschaltung
Dieses Kapitel beschäftigt sich mit einigen Grundschaltungen, in denen Operationsverstärker zum
Einsatz gelangen. Außerdem werden als Erweiterung des Stoffes Applikationsschaltungen aus der
Industrie besprochen, um auch den Randbedingungen aus der Praxis Genüge zu tun. In Bild 5.1 ist
eine solche Schaltung im Prinzip dargestellt.
Ri
uL
~
ie
Schaltung
mit OP
ue
+
ua
OUT
_
Bild 5.1: Grundschaltung mit Operationsverstärker und Ansteuerung
In vielen Fällen werden wir die resultierende Verstärkung
ua
ue
v=
als Funktion der Rückkopplung berechnen. Im Bild erkennt man jedoch, daß die Eingangsspannung
ue nicht gleich der Leerlaufspannung uL der Signalquelle sein muß: Falls der Eingangswiderstand der
Operationsverstärkerschaltung endlich ist, so fließt ein Eingangsstrom ie und wir finden einen
Spannungsabfall am Innenwiderstand der Quelle vor. Vielfach ist es daher sinnvoll, der eigentlichen
Operationsverstärkergrundschaltung einen Operationsverstärker als Impedanzwander mit
vernachlässigbarem Innenwiderstand vorzuschalten. In manchen Fällen interessiert weniger die
Ausgangsspannung ua der Schaltung, sondern ihr Klemmenverhalten bezüglich der
Eingangsklemmen
ue
ie
ze =
Zumeist sind die Terme in den Gleichungen komplex, werden der Übersichtlichkeit halber jedoch nicht
unterstrichen. Beträge sind besonders gekennzeichnet.
5.1 Invertierender Verstärker
5.1.1 Grundschaltung
Beim invertierenden Verstärker, auch als invertierende Grundschaltung bezeichnet, werden sowohl
das Eingangssignal als auch das Ausgangssignal dem invertierenden Eingang zugeführt. Der
nichtinvertierende Eingang liegt an Masse (Bild 5.2)
R2
ir
R1
ue
ie
_
uD
UN
OUT
+
UP
Bild 5.2: Invertierender Verstärker
72
ua
Sollte der durch die Eingangsruheströme verursachte Spannungsabfall stören, so legt man zwischen
den nichtinvertierenden Eingang und Masse einen Widerstand R3 (s. Kapitel 3), dessen Wert jedoch
nicht in die Gleichung für die Verstärkung eingeht. Für die Spannung uD gilt:
uD =
ua
vD
Da vD sehr groß ist, ist uD ungefähr Null und die Spannung des invertierenden Eingangs gegen Masse
daher sehr klein. Man bezeichnet den invertierenden Eingang in dieser Grundschaltung auch als
virtuellen Nullpunkt oder virtuelle Masse.
Wir nehmen an, daß die Eingangswiderstände der Operationsverstärkereingänge sehr groß sind, so
daß wir sie in den folgenden Überlegungen vernachlässigen können. Dann ist der Eingangsstrom ie
der Schaltung gleich dem Rückkopplungsstrom ir.
ie = −ir
und mit ue = ie * R1 sowie ua = ir * R2 erhält man für uD=0
ua
u
=− e
R2
R1
und für die Verstärkung v
v=
ua
R
=− 2
ue
R1
Natürlich fließen keine zwei Ströme ie und ir, sondern der Strom ie fließt von der Signalquelle ue über
R1 und R2 durch den Operationsverstärker in eine der Betriebsspannungsquellen und dann zurück
nach ue.
Man kann auch einen anderen Rechenweg einschlagen. Dabei geht man von der Tatsache aus, daß
der Operationsverstärker bei einer gegeben Eingangsspannung ue die Ausgangsspannung ua derart
einstellt, daß die Differenzspannung uD zu Null wird. Der Abgriff des Spannungsteiler aus R1 und R2
liegt dann an Null Volt:
UN = 0 = ue + (ua − ue ) *
R1
R1 + R 2
Die Spannung am Teiler ist ua-ue. Nach kurzer Zwischenrechnung kommt man zum gleichen Ergebnis
wie oben.
Es müssen nicht immer ohmsche Widerstände sein. An den Herleitungen ändert sich nichts, wenn wir
statt zweier Widerstände zwei Impedanzen Z1 und Z2 nehmen:
v=−
Z2
Z1
Hier muß man jedoch etwas aufpassen: Nehmen wir beispielsweise zwei Kondensatoren, so existiert
kein
Gleichstrompfad
zum
invertierenden Eingang. Damit kann auch kein Ruhestrom
73
fließen (und das ist der Basisstrom eines Transistors im Differenzverstärker). Die Folge ist, daß sich
im Differenzverstärker am Eingang des OP‘s keinen sinnvoller Arbeitspunkt einstellen kann: Die
Schaltung funktioniert nicht. Dies gilt für VFAs, bei CFAs sind die Verhältnisse jedoch ähnlich.
Eine genauere Berechnung der Verstärkung berücksichtigt uD, die Eingangsströme seien aber auch
weiterhin als verschwindend klein angenommen. Mit uD = ua/vD erhält man dann:
ue = R1 * ie −
ua
vD
ua = R 2 * ir −
ua
vD
und
Gleichsetzen von ie und -ir führt zu


u  1
u  1
 ue + a  *
= ie = −ir = − ua + a  *
v D  R1
vD  R2


und ergibt
 1
u
1
1 
 = − e
ua * 
+
+
R1
 R 2 v D * R 2 v D * R1 
Nach kurzer Rechnung erhalten wir für die Verstärkung v*:
v* = −
R2
R1 + (R1 + R 2 ) / v D
v* = −
vD
beziehungsweise
1 + (1 + v D ) * R1 / R 2
Für den Rückkopplungsfaktor k galt
k=
R1
R1 + R 2
Für R1/R2 erhält man dann
R1
k
=
R2 1− k
Eingesetzt ergibt dies
v* = −
vD
vD
= − (1 − k ) *
(1 + v D ) * k
1− k + k + k * vD
1+
1− k
v * = −(1 − k ) *
74
vD
1+ k * vD
In Kapitel 4 wurde für die Verstärkung v* eines gegengekoppelten Systems der Ausdruck
v* =
vD
1+ k * vD
ermittelt. Allerdings wurde dort das Eingangssignal dem verstärkenden Element nichtinvertiert zugeführt und nicht wie in der vorliegenden Schaltung am invertierenden Eingang.
Der Grenzwert für die Verstärkung
lim v *
v D →∞
= lim v *
vD →∞

 k −1
R
vD
 − (1 − k ) *
 =
=− 2 =v
1+ k * vD 
k
R1

stimmt wieder mit dem zuerst ermittelten Ausdruck überein, bei dem eine unendlich große Verstärkung
angenommen wurde. Die obige Rechnung wurde für einen VFA (Voltage Feedback Amplifier)
durchgeführt. Man erkennt, daß der Faktor k mit R1 und R2 eine Rolle beim Frequenzgang spielt.
Eine Anmerkung zu uD. Eine endlich große Spannung ue führt durch den Verstärkungsmechanismus
zu einer endlich großen Ausgangsspannung ua. Als Zwischenprodukt entsteht dabei am
invertierenden Eingang die Spannung uD. Diese Spannung uD ist gleich ua/vD. Je größer nun bei
konstantem ua die Differenzverstärkung vD ist, um so kleiner ist somit uD.
Am linken Anschluß des Widerstandes R1 liegt die Spannung ue, am rechten Anschluß die Spannung
uD mit uD≈0. Es fließt der Strom
ie ≈
ue
R1
Der Eingangswiderstand re der Schaltung ist somit gleich
re =
ue
= R1
ie
Wird der Operationsverstärker übersteuert, so verstärkt er nicht mehr ausreichend und die Spannung
uD ist nicht mehr gleich Null, sondern liegt näher an ue. Am Widerstand liegt eine kleinere Spannung
als ue an und der Strom ie wird entsprechend kleiner. Der Eingangwiderstand re=ue/ie wird somit
größer.
Zur Berechnung des Ausgangswiderstandes ersetzt man den Operationsverstärker durch eine
gesteuerte Spannungsquelle (Bild 5.3) uL = vD*uD mit dem dynamischen Innenwiderstand ra.
ra
uL = vD * uD
ia
RL
~
Bild 5.3: Ersatzschaltbild des belasteten Operationsverstärkers
75
ua
Der Ausgangswiderstand eines unbeschalteten, also nicht rückgekoppelten Verstärkers wird wie folgt
definiert:
ra = −
dua
dia
ue = const
und uD =const
und der des beschalteten Verstärkers folgendermaßen:
ra* = −
du a
di a
ue =const
und
uD ≠ const
Belastet man nämlich den gegengekoppelten Verstärker, so sinkt außer der Ausgangsspannung ua
auch die Differenzspannung uD ab, was beim unbeschalteten Operationsverstärker nicht der Fall ist.
Des Minuszeichen in den Ausdrücken kommt dadurch zustande, daß bei zunehmendem
Ausgangsstrom ia die Ausgangsspannung ua absinkt. Ohne Minuszeichen wäre dann nach der
Definition der Ausgangswiderstand negativ.
Der differentielle Innenwiderstand des gegengekoppelten Verstärkers ist wesentlich kleiner als der
differentielle Innenwiderstand des nicht gegengekoppelten. Die Spannung uD des gegengekoppelten
Verstärkers ist ja nicht konstant:
uD = ue − k * ua
Leitet man nun uD nach ua ab und multipliziert mit dua, so ergibt sich
duD = −k * dua
Die Ableitung der Eingangsspannung ue nach ua ist gleich Null, da ue nicht von ua abhängt. Wenn die
Ausgangsspannung des Verstärkers bei Belastung absinkt, so verringert sich auch die Gegenkopplungsspannung und die Abnahme der Ausgangsspannung fällt damit wiederum kleiner aus als bei
einem Verstärker ohne Gegenkopplung. Die Änderung der Ausgangsspannung beträgt dann
dua = −ra * dia + v D * duD
dua = −ra * dia − v D * k * dua
dua + v D * k * dua = −ra * dia
dua * (1 + k * v D ) = −ra * dia
Man erhält dann als resultierenden Ausgangswiderstand ra*
ra* = −
dua
ra
=
dia 1 + k * v D
oder als Funktion der Schleifenverstärkung g:
ra* ≈
ra
g
Diese Betrachtung gilt allgemein für gegengekoppelte Verstärker, ob invertierend oder nichtinvertierend, da über die Art der Einspeisung des Eingangssignals keine Annahmen gemacht wurden.
76
Damit sind auch die später zu behandelnden Schaltungen erfaßt.
Der Frequenzgang von ra* ist gleich dem inversen Frequenzgang von g:
Da g oberhalb der 3dB-Grenzfrequenz abnimmt, nimmt der Ausgangswiderstand ra* mit 20 dB
pro Dekade zu.
Für niedrige Frequenzen liegt der Ausgangswiderstand ra* bei Standardoperationsverstärkern bei
einigen Milliohm, sofern die Endstufe nicht im Bereich der Strombegrenzung arbeitet. Da die
Schleifenverstärkung g sowohl von vD als auch von k abhängt, ist der Ausgangswiderstand auch eine
Funktion des Verstärkungsfaktors v.
Die obigen Rechnungen gelten natürlich nur für den Fall, daß der Operationsverstärker nicht
übersteuert wird und die Strombegrenzung der Endstufe noch nicht eingesetzt hat.
Die Schaltung aus Bild 5.2 kann bei VFA-Strukturen ohne weiteres verwendet werden. Die
Widerstände R1 und R2 haben Werte im kΩ-Bereich bis hin zu wenigen MΩ. Wenn der Offsetstrom
stört, so kann man einen Widerstand R3 zwischen den nichtinvertierenden Eingang und Masse
schalten. Eine invertierende Grundschaltung mit einem CFA vom Typ OPA623AP zeigt Bild 5.4. Hier
gibt es einige Besonderheiten zu vermelden.
R2=390Ω
R1A
V=-1: R1A=390Ω, R1B=56Ω
V=-2: R1A=200Ω, R1B=68Ω
_
50Ω
Z=50Ω
OUT
ue
+
R1B
R3=150Ω
ua
R=50Ω
Bild 5.4: Invertierende Grundschaltung mit einem CFA für HF-Anwendungen
Mit den eingezeichneten Widerstandswerten ergeben sich Verstärkungsfaktoren von –1 bzw. –2. Die
Schaltung ist so ausgelegt, daß sie von einer Leitung mit einem Wellenwiderstand von 50Ω gespeist
wird und ihrerseits eine 50Ω-Leitung speist. Der Widerstand von 50 Ohm sorgt für die Anpassung des
Ausganges an den Wellenwiderstand der gespeisten Leitung, da der Innenwiderstand des
Operationsverstärkers sehr klein ist. Dieser Widerstand ist nicht in die Rückkopplung mit einbezogen.
Der Widerstand R3 dient weniger der Kompensation der Offsetströme, denn das ist bei einer
Verstärkung von –1 oder –2 sehr wahrscheinlich unerheblich. Dieser Widerstand hat hier einen
anderen Zweck. Er unterdrückt Schwingungen, die bei Schaltflanken auftreten können. Dieses
Problem mit Instabilitäten hat es übrigens bereits in der Röhrentechnik gegeben, auch hier war ein
eigentlich unnötiger Widerstand vor das Steuergitter von Endröhren geschaltet worden. Der
invertierende Eingang ist virtuelle Masse, er liegt an Null Volt. Von den Eingangsklemmen her
betrachtet liegen die Widerstände R1A und R1B somit parallel. Der Wert der Parallelschaltung beträgt
bei beiden Verstärkungsfaktoren etwa 50Ω. Dadurch ist die speisende Leitung mit ihrem
Wellenwiderstand abgeschlossen und es treten keine Reflektionen auf. Der Ausdruck für die
Verstärkung lautet hier
v=−
R2
R1A
Dies kann man wie folgt erklären. Legt man an den Anfang der Eingangsleitung eine Spannung ul an,
so stellt sich eine Spannung ue und eine Spannung ua ein. Das Verhältnis ua/ue ist gleich v. Dies
entspricht der Definition von Bild 5.1
77
Verglichen mit den Widerstandwerten bei Schaltungen mit VFA‘s sind die Widerstände hier recht
niederohmig. Dabei darf der Wert von R2 einen bestimmten Mindestwert nicht unterschreiten, da sonst
die Stabilität der Schaltung nicht mehr gewährleistet ist.
Mit dem Widerstand R2 kann man übrigens auch die Bandbreite der Schaltung einstellen. Hat man
zwei unterschiedliche Werte R21 und R22 zur Verfügung, so gilt angenähert
BW1 R 22
≈
BW2 R 21
Dann muß natürlich bei einer vorgegebenen Verstärkung auch der Wert für R1A geändert werden.
Der Operationsverstärker wird an der Grenze seiner Leistungsfähigkeit betrieben, was den
Ausgangsstrom betrifft. Dazu eine kurze Betrachtung.
Der Operationsverstärker OPA623 wird mit einer Betriebsspannung von ±5 Volt betrieben, sein
maximaler Ausgangsstrom beträgt 70mA. Nehmen wir einmal an, daß die Ausgangsspannung
ebenfalls gleich 5 Volt ist und die Schaltung Gleichspannungs- oder niederfrequente Signale
verarbeitet. Dann wirkt die Leitung wie ein Stück Draht und der Operationsverstärker speist die
Reihenschaltung zweier 50Ω-Widerstände. Der Strom durch diese Widerstände ist dann gleich
iLast =
5V
= 50mA
50Ω + 50Ω
Zusätzlich fließt ein Strom durch den Widerstand R2. Da der linke Anschluß des Widerstandes an
virtueller Masse liegt, fließt ein Strom von
ir =
5V
= 12.8mA
390Ω
Die Summe beider Ströme von 62.8mA muß der Operationsverstärker liefern. Bei hochfrequenten
Signalen ist die Bilanz gleich. Die mit 50Ω abgeschlossene Leitung wirkt wie ein 50Ω-Widerstand und
es fließt der gleiche Strom wie bei niederfrequenten Signalen.
78
5.1.2 Betrieb von Operationsverstärkern an nur einer Betriebsspannung
Vielfach steht in einem elektronischen Gerät nur eine einzige Betriebsspannung zur Verfügung, die
man gerne zur Spannungsversorgung von Operationsverstärkern einsetzen möchte. Dem steht
entgegen, daß Operationsverstärker scheinbar zwei Betriebsspannungen benötigen. Man kann jedoch
auf recht einfache Weise aus einer Betriebsspannung zwei machen, indem man eine künstliche Masse
einführt. Zwei Möglichkeiten dazu erkennen wir in Bild 5.5. Im Bild 6.21 bildet der Ausgang on OP3
ebenfalls eine künstliche Masse.
R1
"+UB"
R
"+UB"
_
OUT
+
R2
"-UB" ZD
ua
=
UB
"-UB"
Bild 5.5: Einführung einer künstlichen Masse.
Die erste Möglichkeit besteht aus einem einfachen Spannungsteiler und ausreichend großen
Abblockkondensatoren. Der Spannungsteiler muß niederohmig genug sein, daß bei
Gleichspannungsaussteuerung
des
Operationsverstärkers
noch
keine
nennenswerte
Potentialverschiebung an der künstlichen Masse auftritt. Der Elektrolytkondensator stellt einen
Wechselspannungskurzschluß dar. Der keramische oder Folienkondensator soll kurze Stromspitzen
auffangen, die der Elko nicht mehr verarbeiten kann. Diese Konfiguration ist nur für kleine Ströme
geeignet. Größere Ströme kann die Stabilisierungsschaltung mit der Zenerdiode liefern, wenn nur der
Widerstand R niederohmig genug ist. Allerding weist die Zenerdiode eine Temperaturdrift der
Zenerspannung auf. Als weitere Möglichkeit besteht der Einsatz spezieller integrierter Schaltungen.
Allen Verfahren ist gemeinsam, daß die künstliche Masse jeden Massepunkt in einer
Operationsverstärkerschaltung ersetzt. Deshalb ist hier symbolisch dadurch angedeutet, daß der
Spannungspfeil der Ausgangsspannung gegen diese Masse zeigt.
Die Spannung U1 muß nicht unbedingt gleich der halben Betriebsspannung sein, man kann das
Potential der künstlichen Masse so legen, wie man es braucht. „Klassische“ Operationsverstärker sind
für Betriebsspannungen von ±15 Volt ausgelegt, bei kleineren Betriebsspannungen sinkt unter
anderem die Differenzverstärkung ab. Deshalb sollte man genau prüfen, ob beim Betrieb mit einer
Betriebsspannung diese ausreicht, um eine ausreichende Leistungsfähigkeit des OP’s zu
gewährleisten. Siehe dazu auch Bild 4.10b im Kapitel 4.
5.1.3 Der Transimpedanzverstärker (stromgesteuerte Spannungsquelle)
Ein Transimpedanzverstärker ist ein Verstärker, der aufgrund eines Eingangsstromes eine
Ausgangsspannung liefert:
ua = Z * i e
Der „Verstärkungsfaktor“ Z hat die Dimension einer Impedanz.
Eine Form von Transimpedanzverstärkern haben wir bereits im Grundlagenkapitel der
Operationsverstärker kennengelernt: Es ist der Current Feedback Amplifier, CFA. Diese CFA’s sind
79
jedoch „ungebändigt“, das heißt, sie haben eine großen, jedoch nicht genau definierten
Verstärkungsfaktor Z. Man kann jedoch mit beiden Operationsverstärkerarten, VFA’s und CFA’s,
hervorragende Transimpedanzverstärker bauen.
Wir gehen dabei von der einfachen invertierenden Grundschaltung aus und zeichnen lediglich die
Eingangsspannungsquelle ue und den Widerstand R1 als Stromquelle mit Innenwiderstand um (Bild
5.6). Diese Quellenumwandlung ist eine Grundoperation in der Elektrotechnik.
Bild 5.6: Invertierende Grundschaltung als Transimpedanzverstärker
Die Stromquelle liefert den Strom iK mit
iK =
ue
R1
Ein sehr kleiner Teil des Stromes fließt durch R1 ab:
iK − i e =
uD
R1
Der Einfachheit halber nehmen wir den Operationsverstärker vorerst als ideal an. Da uD bei idealen
Operationsverstärker gleich Null ist, ist der Strom ie=iK=-ir. Man erhält dann für die
Ausgangsspannung (rechter Teil des Bildes 5.6)
ua = −R * iK
Der Eingangswiderstand des mit dem Widerstand R rückgekoppelten idealen Operationsverstärkers
ist gleich Null, die Stromquelle arbeitet also im Kurzschlußbetrieb.
Nehmen wir den Operationsverstärker einmal als nichtideal an, so erhalten wir für den
Eingangswiderstand
re =
duD dua R
R
=
*
=
diK
v D dua v D
Die Stromquelle arbeitet auch beim realen Operationsverstärker praktisch im Kurzschlußbetrieb.
Der Ausgangswiderstand der invertierenden Grundschaltung wurde bereits berechnet, er beträgt mit ra
als Innenwiderstand des Operationsverstärkers
ra' =
ra
1+ k * vD
80
Eine mögliche Anwengung einer solchen Schaltung ist das lineare Ohmmeter. Wir schließen eine
Referenzspannungsquelle mit der Spannung Ue an die invertierende Grundschaltung mit R1 an (Bild
5.6 links) und erhalten dann
Ua = −
U
R2
* Ue = − e * R 2 = K * R 2
R1
R1
Die Ausgangsspannung ist also gleich dem Widerstand R2 multipliziert mit einer Konstanten. Baut
man den Widerstand R2 nicht fest ein, sondern verbindet ihn über Meßschnüre mit der Schaltung, so
hat man ein Ohmmeter mit linearer Anzeige.
Eine weitere, äußerst wichtige Anwendung des Transimpedanzverstärkers ist die Empfängerschaltung
für Lichtwellenleitersignale in der optischen Nachrichtentechnik. Als Signalquelle benutzt man dabei
eine sehr schnelle pin-Photodiode, als Operationsverstärker zumeist einen sehr schnellen CFA. Die
beiden möglichen Grundschaltungen zeigt Bild 5.7.
R
iPh
R
ISperr + iPh
_
_
OUT
C
+
OUT
ua
U0
=
C
+
ua
Bild 5.7: Grundschaltungen für Lichtwellenempfänger
Der Kondensator C repräsentiert die parasitäre Eingangskapazität der Schaltung. Da der
Eingangswiderstand des rückgekoppelten Operationsverstärkers sehr klein ist, ist auch die
Zeitkonstante
T = re * C =
R
*C
vD
sehr klein, was ein gutes Impulsverhalten garantiert.
Im linken Teil des Bildes 5.7 arbeitet die Photodiode als Photoelement, dessen Photostrom iPh
proportional zur Beleutungsstärke ist. Falls kein Gleichanteil im Lichtsignal vorliegt, ist der
Ausgangsspannung auch kein Gleichanteil überlagert. Es gilt
ua = −R * iPh
Durch die Polung der Diode kann man die Polarität der Ausgangsspannung bestimmen.
Im rechten Teil des Bildes arbeitet die Photodiode in Sperrichtung, da sie durch die Gleichspannung
U0 in Sperrichtung vorgespannt ist. Dem eigentlichen Photostrom ist also ein Sperrstrom überlagert,
der einen Gleichanteil bei der Ausgangsspannung erzeugt. Für die Ausgangsspannung finden wir
ua = −R * (ISperr + iPh )
Falls der durch den Sperrstrom verursachte Gleichanteil stören sollte, kann man über eine
Spannungsquelle und einen zusätzlichen Widerstand einen weiteren Strom einspeisen, der diesen
Anteil kompensiert. Allerdings ist der Sperrstrom stark temperaturabhängig, so daß man notfalls
81
besondere Vorkehrungen treffen muß, um auch die Kompensation temperaturabhängig zu gestalten.
Eine weitere häufige Anwendung des Transimpedanz-Prinzipes findet man bei Digital/AnalogUmsetzern. Viele dieser Umsetzer liefern einen Ausgangsstrom, der proportional zur Digitalzahl ist und
sind am schnellsten, wenn der Lastwiderstand möglichst klein ist. Schließt man an den Ausgang
dieses Umsetzers einen ohmschen Widerstand an, so erhält man eine Spannung, die proportional zur
Digitalzahl ist.
Z
R
R
R2
R
R
2R
Iout1
2R
Uref
2R
2R
2R
_
2R
OUT
+
=
S
S
S
S
Rref
S
ua
Iout2
Bild 5.8: D/A-Umsetzer mit angeschlossenem Transimpedanzverstärker
Entsprechend der Binärzahl Z werden die Halbleiterschalter im Inneren des D/A-Umsetzer betätigt.
Das Ergebnis ist ein Strom Iout1, der proportional zur Zahl ist. Der Strom Iout2 ist das Komplement
von Iout1. Für die Ausgangsspannung finden wir
u a = −Iout1 * R 2
Bei einigen D/A-Umsetzern ist noch ein interner Widerstand Rref eingebaut, der anstelle von R2
benutzt werden kann, wie im Bild gestrichelt angedeutet. Der Vorteil ist der, daß zwischen den
Widerstandswerten R, 2R und Rref aufgrund der gleichzeitigen Herstellung eine enge Korrelation
besteht.
5.1.4 Die invertierende Grundschaltung als Konstantstromquelle
Die invertierende Grundschaltung läßt sich auch als eine spannungsgesteuerte Stromquelle bzw.
stromgesteuerte Stromquelle auffassen (Bild 5.9). Dabei ist der Widerstand R der Lastwiderstand der
Stromquelle.
iK
R1 i
e
ue
_
uD
u2
R
OUT
+
Bild 5.9: Invertierende Grundschaltung als Stromquelle
82
ua
Bei einem realen Operationsverstärker mit vD < ∞ gilt:
i e = iK =
(ue + uD )
R1
und
ua = −u2 − uD
u2 = −uD − ua = −uD − v D * uD
mit ua = uD*vD. Es interessiert hier allerdings nicht ua, sondern die Spannung u2 am Widerstand R.
Eliminiert man ua und löst die letzte Gleichung nach uD auf, so gilt
uD = −
u2
1+ vD
Diesen Term für uD kann man in die Gleichung für ik einsetzen und bekommt
iK =
ue
u
u2
u2
−
≈ e −
R1 R1 * (1 + v D ) R1 v D * R1
Dieser Ausdruck lösen wir nach u2 auf und erhalten dann
u
u2
= e − iK
v D * R 1 R1
u2 =
ue
v D * R1 − iK v D * R1 = ue * v D − iK v D * R1
R1
Der Innenwiderstand der Schaltung bezüglich der Anschlußpins für den Widerstand R ist dann gleich
der Ableitung der Spannung u2 nach dem Strom ik
ra = −
du2
≈ v D * R1
diK
Der Innenwiderstand ist also sehr groß, so wie es bei einer Stromquelle auch sein sollte. Das negative
Vorzeichen kommt dadurch zustande, daß bei größer werdendem iK die Spannung u2 absinkt.
Die Frequenzabhängigkeit von ra ist die von vD. Bei kompensierten Operationsverstärkern (VFAStruktur) liegt die erste Grenzfrequenz fgvd im Bereich von etwa 2 bis 20 Hertz. Der Wert für ra läßt
sich durch folgende Gleichung ausdrücken:
ra =
v D (0 ) * R1
ω
1+ j
ωgvd
83
Der Kurzschlußstrom der Stromquelle ist bei idealem Operationsverstärker mit vD=∞ somit
iK = il = ie =
ue
R1
also keine Funktion des Widerstandes R. Der Innenwiderstand der Stromquelle ist unendlich groß.
Der Nachteil der vorgestellten Stromquelle ist, daß der Lastwiderstand erdfrei angeschlossen werden
muß. Bei der folgenden Schaltung liegt die Last einseitig an Masse (Bild 5.10).
R1
R2
R3
II
R1
+
Ri
_
u1
~
III
OUT
uP
u0
I
R2
*
ua
uN
R3
RL
ua
iL
Bild 5.10: Stromquelle mit Last an Masse
Um iL zu berechnen, werden die Knotengleichungen für die Knoten I bis III aufgestellt:
Knoten I :
Knoten II :
Knoten III :
ua − uN uN
−
=0
R2
R3
u1 − uP u'a − uP
+
=0
R1 + R 2
R3
ua − u'a uP − u'a
+
− iL = 0
R1
R3
Setzt man uN = uP, so ergibt sich nach einer Zwischenrechnung für iL
iL =
u1
R1
für R 2 = R 3 = R
Der Operationsverstärker stellt den Spannungsabfall am Längswiderstand R1 zwischen Ausgang und
RL so ein, daß obiger Ausdruck gilt. Dieser Widerstand dient der Messung des Laststromes.
84
5.1.5 Die invertierende Schaltung mit Vierpolen
Vielfach findet man einen Operationsverstärker mit geerdetem nichtinvertierenden Eingang und
Netzwerken zwischen den Eingangsklemmen und dem invertierenden Eingang sowie in der Rückkopplung. Die Berechnung der Verstärkung v=ua/ue ist dann meist recht aufwendig, wenn man eine
Maschen- und Knotenanalyse vornimmt.
2
2'
ie
1
1'
A
2'
1'
_
2
VP1
ue
1
VP2
ir
OUT
+
ua
Bild 5.11: Beschalteter Operationsverstärker mit Netzwerken am Eingang und als Rückkopplung.
Wir betrachten jedes dieser Netzwerke als Vierpol und legen die Bezeichnung der Klemmenpaare
derart fest, daß das Klemmenpaar 2/2‘ jedes Vierpoles am invertierenden Eingang liegt. Dadurch, daß
der invertierende Eingang die virtuelle Masse darstellt, sind die Ausgangsklemmenpaare 2/2‘ jeweils
kurzgeschlossen. Dabei liegt jeweils am Eingangsklemmenpaar 1-1' die Spannung u1 des Vierpols
(hier also ue und ua) und am Ausgangsklemmenpaar 2-2' die Spannung u2, hier gleich Null. Die
Ströme i1 und i2 fließen in die Vierpole hinein. Es gilt nun bei jedem der beiden Vierpole für das
Verhältnis zwischen Ausgangsstrom i2 und Eingangsspannung u1:
i2
= y 21
u1
da u2 jeweils gleich 0 ist. Für den Vierpol VP1 erhält man:
ie = −i2 VP1
ie = − y 21VP1 * ue
und für den Vierpol VP2:
ir = i2 VP 2
ir = y 21VP 2 * ua
Der Strom ir weist, wie in der Vierpoltheorie üblich, in den Vierpol hinein. Die Knotengleichung für den
Knoten A lautet:
i e = ir
− y 21VP1 * u e = y 21VP 2 * u a
Damit erhält man für die Verstärkung der Gesamtschaltung
v=
ua
y
= − 21VP1
ue
y 21VP2
85
Der Eingangsstrom ist der Strom i1 des auf der anderen Seite kurzgeschlossenen Vierpols VP1. Damit
gilt für den Eingangswiderstand der Verstärkerschaltung:
ze =
1
y 11VP1
= h11VP1
Im allgemeinen lassen sich die Vierpolparameter und damit die Verstärkung wesentlich einfacher berechnen als wenn man Verstärkung und Eingangswiderstand mit Hilfe von Knoten- und Maschenanalyse des Eingangs- und des Rückkopplungsnetzwerkes bestimmt.
5.1.6 Die invertierende Schaltung mit beliebigen Bauelementen
Die invertierende Grundschaltung hat sich bis jetzt als so universell erwiesen, daß wir noch etwas
ausprobieren wollen. Wir ersetzen einen der beiden ohmschen Widerstände durch ein beliebiges
Bauelement. Dabei setzen wir der Einfachheit halber einen idealen Operationsverstärker mit vD=∞
voraus. Der invertierende Eingang liegt damit an (virtueller) Masse.
i
u= f(i)
i= g(u)
u
i
u= f(i)
i= g(u)
R
i
_
u= f(i)
i= g(u)
u
i
_
u
OUT
ue
R
i
+
ua
ue
OUT
+
ua
Bild 5.12: Die invertierende Grundschaltung mit einem beliebigen Bauelement
Einer der beiden ohmschen Widerstände ist geblieben, der andere durch ein Bauelement ersetzt, bei
dem die Beziehung zwischen Strom und Spannung wie folgt ist
u = f (i)
Eine Bedingung ist, daß auch die Umkehrfunktion existiert:
i = g (u)
Die Umkehrfunktion entsteht, wenn man bei der Kenlinie u=f(i) die Achsen vertauscht (aus der i-Achse
wird die u-Achse, aus der u-Achse wird die i-Achse) und die Kurve an der 45°-Winkelhalbierenden
spiegelt.
Im linken Teil des Bildes liegt das Bauelement u=f(i) in der Rückkopplung. Der Strom ergibt sich aus
i=
ue
R
Für die Spannung u erhalten wir dann
u 
u = f (i) = f  e 
R
86
Die Ausgangsspannung ua ist gleich der negativen Spannung u
u 
u a = −u = − f  e 
R
Dies bedeutet: Die Kennlinie ua/ue entspricht bis auf ein negatives Vorzeichen der Funktion u=f(i). Der
Strom i wird durch ue=R*i ersetzt.
Im rechten Teil des Bildes 5.12 liegt das Bauelement im Eingangskreis. Wir erhalten somit für den
Strom
i = g (u e )
und für die Ausgangsspannung
u a = −R * i = −R * g(u e )
Dies bedeutet: Die Kennlinie ua/ue entspricht bis auf ein negatives Vorzeichen der Funktion i=g(u). Der
Strom i wird durch ua=-R*i ersetzt.
Legt man ein beliebiges Bauelement mit der Kennlinie u=f(i) in den Rückkopplungspfad der
invertierenden Grundschaltung, so entspricht die Übertragungsfunktion ua/ue bis auf Skalierungsfaktor
und Vorzeichen dieser Kennlinie. Legt man das gleiche Bauelement statt dessen in den
Eingangszweig, so entspricht die Übertragungsfunktion der negativen Umkehrfunktion i=-g(u).
Interssant ist auch, was geschieht, wenn wir beide Schaltungen aus Bild 5.12 miteinander verbinden
und das Verhaltnis Ausgangsspannung ua2 des rechten OPs zu Eingangsspannung ue1 des linken
OPs bestimmen. Für den linken Operationsverstärker erhalten wir
u 
u a1 = −f  e1 
 R 
Diese Ausgangsspannung ist nun die Eingangsspannung des rechten Operationsverstärkers
  u 
u
u a = −R * g(u e 2 ) = −R * g − f  e1   = −R * e1 = u e1
R
  R 
Dieser Ansatz gilt uneingeschränkt für nullpunktsymmetrische Kennlinien u=f(i). Bei Unsymmetrien,
wie beispielsweise Dioden, baut man das Bauelement „verkehrt“ herum ein. Dann stimmt die
Umkehrfunktion wieder.
Wir untersuchen nun eine Schaltung, bei der das nichtlineare Bauelement eine Diode ist (Bild 5.13).
i
R
i
_
i
u
OUT
ue1
R
i
+
u
ua1 ue2
Bild 5.13: Schaltung mit Dioden
87
_
OUT
+
ua2
Die Diodenkennlinie lautet
uD
 UuD

UT
T


I = I 0 * e − 1 ≈ I0 * e




Bei größeren Spannungen uD kann man die „1“ vernachlässigen. Diese Funktion ist gleich g(U). Um
f(i) zu bekommen, lösen wir nach der Diodenspannung uD auf:
I
u D = UT * ln 
 I0 
Im linken Teil von Bild 5.13 ist die Spannung uD gleich der Spannung u. Für den Strom i im linken Teil
finden wir
i=
u e1
R
und damit für u
 i
u = UT * ln
 I0

 u
 = UT * ln e1

 R * I0



Die Ausgangsspannung ua1 ist damit
 u
u a1 = −u = −UT * ln e1
 R * I0



Wir haben hier einen Logarithmierer vor uns. Die Ausgangsspannung ist proportional zum
Logarithmus der Eingangsspannung. Die Schaltung liefert bei positiver Eingangsspannung allerdings
eine negative Ausgangsspannung. Deshalb wurde die Diode bei der zweiten Schaltung umgedreht
eingebaut, damit sie bei negativen Eingangsspannungen leitet. Dann stimmt auch die Umkehrfunktion
wieder.
Die Ausgangsspannung ua1 der linken Schaltung ist gleich der Eingangsspannung ue2 der rechten
Schaltung, die Spannung u ist hier gleich -ue2. Die Pfeilrichtung wurde so gewählt, damit die Formel
für den Strom einfach übernommen werden kann. Wir finden für den Strom i beim zweiten
Operationsverstärker
i = I0 * e
u
UT
= I0 * e
−
ue 2
UT
= I0 * e
−
ua1
UT
Es handelt sich hier um einen Exponentialverstärker: Der Strom i und damit die Ausgangsspannung
ua=R*i sind exponentiell von ue abhängig. Da ua1 negativ ist, steigt die Ausgangsspannung
exponentiell mit der Eingangsspannung an.
88
Weil der Pfeil für u hier von rechts nach links angenommen wurde, fließt auch der Strom i von rechts
nach links. Nun setzen wir den Ausdruck für ua1 in die Formel ein:
i = I0 * e
u
− a1
UT
= I0 * e
 u
−UT *ln e 1
 R *I0
−
UT




= I0 * e
 u
ln e1
 R *I0




= I0 *
u e1
u
= e1
R * I0
R
Für die Ausgangsspannung ua2 finden wir dann
u a 2 = i * R = u e1
Die hier vorgestellten Logarithmierer und Exponentialverstärker sind aus verschiedenen Gründen für
die Praxis noch nicht brauchbar. Wir werden im nächsten Kapitel praxistaugliche Schaltungen
kennenlernen.
Statt zweier Dioden nehmen wir nun Kondensatoren (Bild 5.14)
C
R
R
C
_
_
OUT
ue
OUT
+
ue
ua
Bild 5.14: Invertierende Grundschaltung mit Kondensatoren
Weiter oben wurde für die Verstärkung folgender Ausdruck hergeleitet:
v=
ua
Z
=− 2
ue
Z1
Wir setzen für die Impedanz des Kondensators den Ausdruck
ZC =
1
sC
und erhalten für die Verstärkung v der linken Schaltung
v=−
1
sRC
und die der rechten Schaltung
v = −sRC
89
+
ua
Nun bedeutet im Bildbereich eine Multiplikation mit der komplexen Frequenz s eine Ableitung, eine
Division durch s eine Integration.
Bei der linken Schaltung handelt es sich um einen Integrator, bei der rechten Schaltung um einen
Differenzierer.
Bei den Schaltungen in Bild 5.13 hatten wir einen Logarithmierer und einen Exponentialverstärker
kennengelernt.
Das Vertauschen von beliebigem Bauelement und Widerstand R macht aus einer Rechenoperation die
komplementäre.
5.2 Nichtinvertierende Grundschaltung, nichtinvertierender Verstärker
5.2.1 Grundschaltung
Beim invertierenden Verstärker erfolgte die Einspeisung des Eingangssignals über den invertierenden
Eingang, beim nichtinvertierenden hingegen erfolgt sie über den nichtinvertierenden Eingang. Das
Rückkopplungsignal jedoch wird weiterhin an den invertierenden Eingang angeschlossen (Bild 5.15),
so daß sich eine Gegenkopplung ergibt. Der Eingangswiderstand der Schaltung ist sehr groß.
uD
+
_
OUT
ir
R2
ue
ua
R1
ir
Bild 5.15: Nichtinvertierender Verstärker
Auch bei dieser Schaltung stellt sich wegen der Gegenkopplung bei einer gegebenen Eingangsspannung die Ausgangsspannung so ein, daß die Differenzspannung praktisch gleich Null ist. Setzt
man daher uD gleich Null und re = ∞, so erhält man für die Spannungen ue und ua
u e = R1 * ir
u a = (R1 + R 2 ) * ir
und damit für die Verstärkung v:
v=
u a R1 + R 2
R
1
=
= 1+ 2 =
ue
R1
R1 k
mit k =
Bei einer endlichen Verstärkung vD erhält man mit uD = ua/vD:
u e = R 1 * ir +
und
ua
vD
u a = (R1 + R 2 ) * ir
90
R1
R1 + R 2

u
ir =  u e − a
vD

 1
ua
 *
=
 R1 R1 + R 2

1
1 
 * R1
u e = u a * 
+
 R1 + R 2 v D * R1 
v* =
ua
=
ue
1
R1
1
+
R1 + R 2 v D
1
=
k+
1
vD
=
vD
1+ k * v D
Diese Berechnung gilt für VFAs. Auch hier ist die Bandbreite wieder von R1 und R2 abhängig. Bei
CFAs wiederum nur von R2.
Beim nichtinvertierenden Verstärker kann sich die Gleichtaktaussteuerung wegen der endlich großen
Gleichtaktverstärkung störend auswirken (Bild 5.16). Eine solche Gleichtaktaussteuerung existiert
beim invertierenden Verstärker nicht.
uD
+
_
OUT
R2
ue
ua
R1
Bild 5.16: Gleichtaktaussteuerung beim nichtinvertierenden Verstärker
Ändert sich die Eingangsspannung um einen bestimmten Betrag, so ändert sich die Ausgangsspannung im gleichen Sinne und damit ebenfalls die rückgekoppelte Ausgangsspannung k*ua. Für die
Gleichtaktspannung erhalten wir:
u Gl = k * u a ≈ u e
Da uD sehr klein ist, ist die Gleichtaktspannung etwa gleich der Spannung ue.
Allgemein gilt für die Ausgangsspannung eines Operationsverstärkers:
u a = v D * uD + v Gl * u Gl
Eine genauere Untersuchung ergibt dann für den vorliegenden Fall
u a = v D * (u e − k * u a ) + v Gl * k * u a
u a * (1 + k * v D − k * v Gl ) = v D * u e
v** =
ua
vD
1
1
=
=
=
v
1
1
1
u e 1 + k * v D − k * v Gl

+ k − k * Gl
+ k * 1 − 
vD
vD
vD
 G
91
v** =
ua
=
ue
vD
1

1 + k * v D * 1 − 
 G
=
vD
1
1

1 − 
 G
*
1
+ k * v D 1−
1
G
Entwickelt man den Ausdruck 1/(1-1/G) in eine Reihe, so erhält man unter Vernachlässigung der
Glieder höherer Ordnung
v** =
vD
1
1


* 1 +  = v *  1 + 
1+ k * v D  G 
 G
Bei großer Gleichtaktunterdrückung G spielt die Abweichung von v** zu v nur in Präzisionsanwendungen eine Rolle. Man bedenke jedoch, daß die Gleichtaktunterdrückung frequenzabhängig ist
und für höhere Frequenzen immer schlechter wird.
Setzt man den Widerstand R2 zu Null und läßt R1 weg, erhält man k=v=1 und damit den Spannungsfolger (Bild 5.17). Der Spannungsfolger hat einer Verstärkung von 1, einen sehr großen
Eingangswiderstand und einen sehr kleinen Ausgangswiderstand. Man setzt ihn dort ein, wo es auf
einen sehr großen Eingangswiderstand (z. B. bei hochohmigen Signalquellen) oder einen kleinen
Ausgangswiderstand (z. B. bei niederohmigen Lasten) ankommt. Auch hier gibt es wieder einen
Unterschied zwischen Voltage Feedback-Strukturen und Current Feedback-Strukturen. Aus
Stabilitätsgründen muß der Widerstand R2 bei CFAs vorhanden sein, obwohl es von der Theorie her
keinen Grund dafür gibt.
VFA
+
OUT
_
ue
CFA
+
OUT
_
ua
ue
ua
R2
Bild 5.17: Spannungsfolger mit VFA und CFA
Der nichtinvertierende Verstärker hat im Gegensatz zum invertierenden Verstärker einen sehr hohen
Eingangswiderstand. Man nennt ihn daher auch Elektrometerverstärker. Eine Anwendung für solche
Verstärker sind beispielsweise Eingangsverstärker für Multimeter. Bild 5.18a zeigt die Eingangsersatzschaltung eines Operationsverstärkers mit den dynamischen Widerständen rD und rgl. Dabei ist rD der
dynamische Widerstand zwischen den beiden Eingängen, hervorgerufen durch die Reihenschaltung
der leitenden Basis-Emitter-Strecken der Transistoren in Bild 5.18b und rgl der dynamische Innenwiderstand eines Verstärkereinganges gegen Masse. (Durch die Siebkapazitäten des Netzteils werden
der Masseanschluß und -UB wechselstrommäßig kurzgeschlossen.) Der dargestellte Operationsverstärker selbst wird dabei als ideal angenommen.
92
+UB
uD
re = due/die
=>
_
rGl
RC
OUT
rD
R2
rD
rGl
RC
+
=>
T1
T2
<=
R1
rGl
=>
-UB
a)
rGl
I
b)
Bild 5.18: Eingangsersatzschaltung der Operationsverstärkers (a) und Definition der Widerstände rD
und rgl (b)
Der Widerstand rgl ist im allgemeinen sehr hoch und liegt selbst bei Operationsverstärkern mit
bipolaren Transistoren im Bereich von 108 Ω. Der Widerstand rD ist kleiner. Man muß bedenken, daß
es sich bei diesen Größen um dynamische Widerstände handelt, nicht zu verwechseln mit den
statischen Widerständen.
Am Widerstand rD liegt die Spannung uD. Nun gilt:
ua
vD
v
=
= D
ue 1 + k * v D 1 + g
Damit kann man die Differenzspannung uD als Funktion von ue bestimmen:
uD =
ua
u
= e
vD 1+ g
Durch die Elektrometergegenkopplung wird der Differenzeingangswiderstand rD um den Faktor 1+g
"hochtransformiert":
iD =
uD
ue
u
=
= *e
rD (1 + g) * rD rD
mit rD* = (1 + g) * rD
Der Eingangswiderstand der Schaltung beträgt dann re = due/die
re = (1 + g) * rD II rGl ≈ rGl
Immer dann, wenn der Eingangswiderstand durch eine Rückkopplung der Ausgangsgröße dynamisch
vergrößert wird, spricht man vom Bootstrap-Effekt. Bei hochohmigen Quellen tritt in Schaltungen mit
bipolaren Eingangstransistoren ein Spannungsabfall durch den Eingangsruhestrom auf. Um diesen
Spannungsabfall zu kompensieren, müßte man den Gegenkopplungsspannungsteiler sehr hochohmig
auslegen (etwa 107 bis 109 Ω). Dies ist jedoch mit Schwierigkeiten verbunden (Eingangswiderstände,
Streukapazitäten, keine Normwiderstände, hohes Widerstandsrauschen) und sollte unterbleiben.
Außerdem ist das Rauschen bipolarer Transistoren bei hohen Generatorwiderständen verhältnismäßig
groß. Deshalb ist es sinnvoll, bei Signalquellen mit einem Innenwiderstand >50kΩ auf Operations93
verstärker mit FET-Eingängen zurückzugreifen, da hier die Ruheströme vernachlässigbar klein sind.
Als konkretes Anwendungsbeispiel ist hier die Schaltung eines hochohmigen Spannungsmessers mit
mehreren Meßbereichen dargestellt (Bild 5.19).
R3=99k
LH 0042
9M
+
_
1M
OUT
900 k
+
-
R1
90 k
C1=0.1µ
R5
R2=1k
100µA
R4
10 k
Bild 5.19: Hochohmiges Multimeter mit Operationsverstärker in Elektrometerschaltung
Der Operationsverstärker ist mit FET-Eingängen ausgestattet und somit sehr hochohmig. Dadurch
wird die Eingangsspannungsquelle nicht belastet, der Eingangswiderstand der Schaltung ist konstant =
10 MΩ. Das RC-Glied aus R1 und C1 hat eine Grenzfrequenz von 1.59 Hertz und filtert eventuelle
Brummspannungen aus. Die Verstärkung des Operationsverstärkers ist 99 + 1 = 100 (R3 und R2).
Sollte durch eine zu hohe Eingangsspannung der Verstärker übersteuert werden, so wird die
(ansonsten vernachlässigbar kleine Spannung) uD größer. Sobald sie die Schwellenspannung von 0,7
Volt überschreitet, leiten die Dioden und die schädliche Spannung, die über R1 vom Eingang kommt,
wird über R2 nach Masse abgeleitet. R1 fungiert also auch als ein Schutzwiderstand für die
Operationsverstärkereingänge. Die maximale Offsetspannung des OP's beträgt etwa 20 mV und muß
bei dieser Verstärkung und bei diesem Verwendungszweck kompensiert werden. Der Regler R4 dient
der Offsetspannungskompensation. Sein Mittelanschluß liegt an -UB. Mit dem Regler R5 stellt man
den Vollausschlag des Mikroamperemeters ein. Da der Innenwiderstand des OP's nahezu Null ist,
beeinflußt der Wert von R5 die Verstärkung nicht. Die Gleichtaktunterdrückung des Operationsverstärkers beträgt 80 dB, die Verstärkung v' ist daher v' = v*(1+G) = 100*(1±10-4). Der durch die
Gleichtaktverstärkung bedingte Meßfehler beträgt also 0.1 Promille.
Tabelle 5.1 gibt Aufschluß über die Eigenschaften des invertierenden und des nichtinvertierenden
Verstärkers im Vergleich zum unbeschalteten Operationsverstärker
Eigenschaft
Spannungsverstärkung
Bandbreite
Eingangswiderstand
Ausgangswiderstand
Klirrfaktor
unbeschalteter
Operationsverstärker
vD
B
rD
ra
k
invertierender
Verstärker
-R2/R1
g*B
R1
≈ra/g
≈k/g
nichtinvertierender
Verstärker
1+R2/R1
g*B
rD*IIrGl
≈ra/g
≈k/g
Tabelle 5.1: Eigenschaften der Verstärkerschaltungen und des unbeschalteten Operationsverstärkers
Die Ausdrücke für die Verstärkung gelten ebenfalls, wenn man die Widerstände R durch Impedanzen
Z ersetzt. Es muß lediglich dafür gesorgt werden, daß der Eingangsruhestrom fließen kann, da sonst
eine Arbeitspunktverschiebung im internen Differenzverstärker einsetzt, die den gesamten
Operationsverstärker übersteuern kann.
94
5.2.2 Nichtinvertierender Verstärker mit Vierpolen im Eingang und in der Rückkopplung
Vielfach findet man auch Schaltungen, bei denen der Eingangsvierpol an den nichtinvertierenden
Eingang angeschlossen ist (Bild 5.20).
i
2 2IN
ue
2'
i1IN
VPIN
1
1'
+
uD
_
OUT
ua
i
1 1RK
uP
uN
1'
i2RK
VPRK
2
2'
Bild 5.20: Verstärkerschaltung mit Eingangsvierpol am nichtinvertierenden Eingang
Die Vierpole haben jeweils die Klemmen 1-1' und 2-2' und sind wie eingezeichnet mit dem Operationsverstärker verbunden. Beim idealen Operationsverstärker ist die Spannung uD gleich 0 und die
Eingänge sind stromlos.
Für einen allgemeinen Vierpol lauten die Vierpolgleichungen in h-Form:
u1 = h11 * i1 + h12 * u2
i2 = h21 * i1 + h22 * u2
Da kein Strom i1IN in den Operationsverstärker hinein fließt, erhält man für die Spannung uP:
uP = h11IN * i1IN + h12IN * ue = h12IN * ue
Für die Spannung uN gilt analog:
uN = h11RK * i1RK + h12RK * ua = h12RK * ua
mit i1RK=0
Da beim idealen Operationsverstärker uN=uP ist, gilt
h12IN * ue = h12RK * ua
und für die Verstärkung
v=
ua h12IN
=
ue h12RK
Weiterhin gilt:
i2IN = h21IN * i1IN + h22IN * ue = h22IN * ue
Da der Strom i1IN gleich 0 ist, erhält man für den Eingangswiderstand der Schaltung
re =
ue
ue
1
=
=
i2IN h22IN * ue h22IN
95
5.2.3 Nichtinvertierender Verstärker mit einem Current Feedback Amplifier
Bild 5.21 zeigt einen nichtinvertierenden Verstärker mit einem Current Feedback Amplifier nach
Unterlagen von Burr-Brown. Der Operationsverstärker ist vom Typ OPA623AP.
Ri
(50Ω)
+
_
ue
(50Ω)
R1
Z=50Ω
OUT
R2
ua
R=50Ω
Bild 5.21: Nichtinvertierende Grundschaltung mit dem CFA OPA623AP
Diese Schaltung ist wiederum für einen Eingangs- und Ausgangswiderstand von 50Ω ausgelegt. Wer
diese Schaltung einfach nur als HF-Verstärker ohne Anpassung benutzen will, kann die beiden (50Ω)Widerstände weglassen. Als weitere Besonderheit finden wir den Widerstand Ri, der dem
nichtinvertierenden Eingang vorgeschaltet ist. Dieser Widerstand dient der Unterdrückung von unerwünschten Oszillationen bei Schaltflanken und wurde bereits im Bild 5.4 bei der invertierenden Grundschaltung vorgestellt. Das Rückkopplungsnetzwerk ist sehr niederohmig, um den Einfluß parasitärer
Kapazitäten zu minimieren. Bei der Verstärkung v=1 wird der Widerstand R1 zu ∞ angesetzt, entfällt
also. Der Widerstand R2, der nach der Theorie auch entfallen könnte, ist vorhanden und hat einen
Wert von 360Ω. Dieser Widerstand ist aus Stabilitätsgründen vorhanden.
96
5.2.4 Nichtinvertierender Verstärker für Messungen im Nano- und Femtoamperebereich
Der nichtinvertierende Verstärker wurde als sehr hochohmig beschrieben. Will man jedoch Präzisionsmessungen im Bereich von Nanoampere und Femtoampere durchführen, benötigt man dazu
spezielle Operationsverstärker und ein spezielles Platinenlayout. Eine solche Schaltung soll hier nicht
fehlen, um die Problematik beim Messen solch niedriger Ströme zu verdeutlichen.
Eine solche Meßschaltung kann man nicht mit einem beliebigen Operationsverstärker aufbauen. Es
empfehlen sich Typen mit JFETs im Eingang oder Operationsverstärker, die mit MOSFETs aufgebaut
sind. JFETs im Eingang haben beispielsweise der AD515 oder der OPA128. Ein vereinfachtes Schaltbild des Innenaufbaus des OPA128 zeigt Bild 5.22.
Bild 5.22: Vereinfachte Innenschaltung des OPA128 von Burr-Brown
Man erkennt, daß der Differenzverstärker am Eingang des IC’s mit Sperrschichtfeldeffekttransistoren
bestückt ist. Diese Transistoren sind vom Rest des Chips die elektrisch isoliert angebracht, was ihre
niedrigen Ruheströme von bis hinunter zu 75fA erklärt („DiFet“-Technologie). Die mit „TRIM“
bezeichneten Anschlüsse dienen der Offsetspannungskompensation. Eine Besonderheit ist noch
vorhanden: Der Pin 8 ist mit dem TO-99-Metallgehäuse verbunden. Das Gehäuse selbst hat keinerlei
Verbindung zur Schaltung. Man kann es daher zu Abschirmzwecken an Masse legen, ohne etwas an
den Spannungen in der Innenschaltung selbst zu verändern.
97
Mögliche prinzipielle Aufbauten sind in Bild 5.23 dargestellt. Man erkennt drei Schaltungsvarianten:
Den nichtinvertierenden Verstärker, den
Buffer als Sonderform des nichtinvertierenden Verstärkers mit v=1 und den
invertierenden Verstärker. Die Pins 2
und 3 sind die Eingänge. Unten rechts
im Bild ist ein „Guard Ring“ eingezeichnet, der die Eingänge umgibt und mit
dem Pin 8 (Gehäuse) verbindet. Das
Potential des Guardringes liegt entweder an Masse oder an der Ausgangsspannung. Der Guard Ring ist sowohl
auf der Oberseite der Leiterplatte als
auch auf der Unterseite vorgesehen.
Als Alternative zum Guard Ring bietet
sich an, die Anschlußpins 2 und 3 des
Operationsverstärkers gar nicht in die
Leiterplatte einzulöten (bzw. in die ICFassung zu stecken), sondern statt
dessen Abstandshalter aus Teflon einzusetzen, die einen Lötstützpunkt
tragen.
Bild 5.23: Hochempfindliche Meßverstärker
Zu bedenken ist, daß es sich um Schaltungen mit sehr hochohmigen Eingängen (Hunderte von MΩ)
handelt. In diesem Widerstandsbereich spielen Eigenschaften der Leiterplatte bereits eine große Rolle.
Eine Leiterplatte ist eben nicht nur ein Träger von Bauelementen, sondern hat auch endlich Widerstände. Im Grundlagenpraktikum gibt es einen Versuch, bei dem der Sperrstrom einer Diode
gemessen werden soll. Dazu bringt man die Diode auf einer Versuchplatte unter, legt eine Spannung
in Sperrichtung an die Diode an und mißt den sich einstellenden Strom. Dieser Strom fließt jedoch
auch dann noch, wenn man die Diode entfernt. Was man in Wirklichkeit gemessen hat, ist der
Leckstrom durch die Leiterplatte und an deren Oberfläche. Der Guard Ring schafft hier eindeutige
Verhältnisse. Alle Einflüsse von außerhalb des Ringes werden nach Masse oder ua abgeleitet.
Um zu verdeutlichen, wie schwierig es ist, den Operationsverstärker OPA128 zu testen, listet BurrBrown die folgenden Fehlerquellen auf:
- Leckströme der Fassung
- Verschmutztes Gehäuse
- Feuchtigkeit oder Kondenswasser
- Verschmutzung mit Fingerabdrücken oder Antistatika
- Umgebungstemperatur
- Verlustleistung
Ähnliche Schwierigkeiten ergeben sich dann natürlich auch in der konkreten Schaltung. Dies gilt
insbesondere dann, wenn nach einiger Zeit die Leiterplatte verstaubt ist und sich je nach Feuchtigkeitsgehalt der Luft eine leitfähige Schmierschicht bildet. Ach ja, habe ich die Triboelektrizität erwähnt?
Dies sind elektrische Effekte, die entstehen, wenn man Kabel verbiegt und die ein Signal
vortäuschen... Aber da gibt es spezielle Kabel, die störarm sind.
98
Nun zu zwei konkreten Schaltungen. Die erste Schaltung ist ein ph-Wert-Messer, die zweite ein
Ladungsverstärker für piezoelektrische Effekte.
+15V
500Ω
9.5kΩ
_
Guard
8
OUT
+
-15V
zur Meßelektrode
Ri=500MΩ
50mV Signalspannung
Bild 5.24: ph-Wert-Meßgerät
Die Schaltung ist eine nichtinvertierende Grundschaltung. Der invertierende Eingang liegt wegen uD
gleich Null am Potential der Meßelektrode, so daß die Elektrode praktisch überhaupt nicht durch
Ströme nach Masse hin belastet wird. Der zweite Anschluß der Elektrode liegt an Masse.
Der Spannungsteiler ist niederohmig ausgelegt, die Spannungsverstärkung beträgt
v = 1+
9500Ω
= 20
500Ω
Aus einer Signalamplitude von 50mV erhält man somit eine Ausgangsspannung mit einer Amplitude
von einem Volt.
99
Man kann hochohmige Verstärker auch mit der invertierenden Grundschaltung aufbauen, wie Bild 5.25
zeigt. Bei der Schaltung handelt es sich um einen Ladungsverstärker für piezoelektrische Aufnehmer.
11
Hier sorgen zwei hochohmige Widerstände von 10 Ω für eine Ausgangsspannung von Null Volt ohne
Signal. Die eigentliche Spannungsverstärkung geschieht rein kapazitativ. Die obere Grenzfrequenz
beträgt
fG =
1
= 0.16 Hz
2 π * R F * CF
Diese niedrige Grenzfrequenz spielt jedoch bei dieser Anwendung keine Rolle, da sich die ph-Werte
nicht so schnell ändern
CF=10pF
11
RF=10 Ω
Guard
+15V
8
_
OUT
+
∆Q
100pF
-15V
11
10 Ω
Bild 5.25: Ladungsverstärker
Weiterführende Literatur: OA-07.pdf, OPA128.pdf, SBOA081.pdf
100
ua=-∆Q/CF
6. Weitere Schaltungen mit Operationsverstärkern
6.1 Umkehraddierer
Die in Bild 6.1 dargestellte Schaltung dient zur Addition mehrerer Eingangsspannungen. Man
bezeichnet sie als Umkehraddierer, weil sie die Polarität der Eingangsspannungen umkehrt.
ie1
R1
ie2
ir
R2
ie3 R3
ue1
ue2
Rr
_
OUT
ue3
+
Rninv
ua
Bild 6.1: Umkehraddierer
Unter der Voraussetzung, daß uD vernachlässigbar klein ist, gilt für den Summationspunkt am invertierenden Eingang:
− ir = i e1 + i e 2 + i e3
oder
−
u a u e1 u e 2 u e3
=
+
+
R r R1 R 2 R 3
Die Ströme sind unabhängig voneinander, da der invertierende Eingang praktisch an 0 Volt liegt. Wir
erhalten als Ergebnis für die Ausgangsspannung:
R

R
R
u a = − r * u e1 + r * u e 2 + r * u e 3 
R2
R3
 R1

Die Schaltung liefert als Ausgangsspannung die negative Summe der Eingangsspannungen uen mit
der jeweiligen Gewichtung Rr/Rn. Der Widerstand Rninv spielt für die Verstärkung keine Rolle. Er dient
zur Kompensation der Offsetströme und sollte wie folgt bemessen werden:
R ninv = R1 IIR 2 IIR 3 IIR r
Der Eingangswiderstand ist für jeden Eingang unterschiedlich:
re1 = R1
re 2 = R 2
re3 = R 3
Man kann den Umkehraddierer als Mischer für Wechselspannungssignale einsetzen. Eine andere
Anwendung ist ein Wechselspannungsverstärker einsetzen, dessen Nullpunktpotential durch die
Addition einer Gleichspannung, "DC-Offset", in einem weiten Bereich eingestellt werden kann. Eine
solche Schaltung findet man beispielsweise bei Signalgeneratoren, bei denen dem Ausgangssignal je
nach Bedarf noch eine Gleichspannung
beliebiger Polarität hinzugefügt werden kann.
101
Eine ähnlich Anwendung finden wir in Bild 6.2. Hier handelt es sich um eine Modulationsschaltung für
das Licht einer Leuchtdiode.
0.1µF
27kΩ
27kΩ
-12V
_
uSignal
10kΩ
27kΩ
390Ω
OUT
+
Bild 6.2: Modulationsschaltung für Leuchtdiode
Die Ausgangsspannung des Operationsverstärkers liegt an der Reihenschaltung Vorwiderstand (hier
390Ω) und Leuchtdiode. Mit Hilfe des Potentiometers stellt man den Ruhestrom der Diode ein. Wird
jetzt ein Signal zugeführt, so wird dem Ruhestrom ein Wechselstrom überlagert. Die abgestrahlte
Lichtmenge ist proportional zum Strom und damit auch proportional zur Signalspannung. Die Bauelementewerte sind Vorschläge, die je nach Anwendung variiert werden können. Wichtig ist, den
Ruhestrom so groß zu wählen, daß der Gesamtstrom durch die Diode bei maximalem Signal niemals
kleiner als Null werden könnte. Außerdem muß der Operationsverstärker hier den gesamten Strom
liefern. Deshalb sollte ein Typ gewählt werden, dessen Endstufe diesen Strom liefern kann, ohne in die
Strombegrenzung zu geraten.
6.2 Subtrahierer und Subtrahierschaltungen
Der Subtrahierer ist eine Kombination aus einem invertierenden und einem nichtinvertierenden Verstärker (Bild 6.3). Bei der Dimensionierung der Schaltung erhält man ein besonders praktisches Ergebnis, wenn sich das Verhältnis der Widerstände im Rückkopplungszweig und das Verhältnis der
Widerstände im Eingangsspannungsteiler um den gleichen Faktor a unterscheiden.
Bei der Berechnung der Ausgangsspannung wird vom Überlagerungssatz ausgegangen, d. h. die
Ausgangsspannung setzt sich aus zwei jeweils durch ue1 und ue2 allein verursachten Anteilen zusammen, die unabhängig voneinander zu berechnen sind. Die jeweils andere Spannung wird zu 0 gesetzt,
der entsprechende Eingang ist dann über die ideale Spannungsquelle mit Masse verbunden.
R1/aN
R1
_
R2/aP
ue1
OUT
~
+
ue2
~
R2
Bild 6.3: Grundschaltung des Subtrahierers
102
uN
uP
ua
Nach dem Überlagerungsprinzip gilt für die Ausgangsspannung ua
u a = u a (u e1 )
ue 2 =0
+ u a (u e 2 )
ue1 =0
Zuerst setzen wir die Spannung ue1 zu Null und ersetzen dazu die Spannungsquelle ue1 durch einen
Kurzschluß nach Masse. Dann erkennt man, daß man eine nichtinvertierende Grundschaltung vor sich
hat, deren Eingangsspannung uP ist. Die Spannung uP wiederum wird durch einen Spannungsteiler
aus ue2 erzeugt.
Die Spannung uP wird nur von der Eingangsspannung ue2 bestimmt und berechnet sich zu
uP = ue2 *
R2
a
= u e2 * P
R 2 + R 2 / aP
1+ aP
Der nichtinvertierende Verstärker verstärkt diese Spannung mit dem Faktor
ua
R1
= 1+
= 1 + aN
uP
R1 / a N
verstärkt. Man erhält somit für ua als Funktion von ue2:
up ua
ua
aP
=
*
=
* (1 + a N )
ue2 u e2 up 1 + aP
ua = u e2 *
1 + aN
* aP
1+ aP
Setzt man ue2 zu Null, so arbeitet die Schaltung als invertierender Verstärker für ue1:
ua = −ue1 *
R1
= −aN * ue1
R1 / aN
Die Ausgangsspannung setzt sich aus beiden Anteilen zusammen:
ua = ue 2 *
1 + aN
* a P − a N * u e1
1 + aP
Setzt man nun aN gleich aP gleich a, so erhält man
ua = a * (ue 2 − ue1 )
Theoretisch bildet die Schaltung für aN = aP = a exakt die Differenz zwischen den beiden Eingangsspannungen. In der Realität ist dies nicht der Fall, da der Operationsverstärker eine endliche
Gleichtaktverstärkung hat. Macht man einen der vier Widerstände variabel, so läßt sich aN oder aP
derart einstellen, daß die Gleichtaktverstärkung der Schaltung der Gleichtaktverstärkung des Operationsverstärkers entgegenwirkt und die resultierende Gleichtaktverstärkung dann wirklich zu Null wird.
Man gleicht ab, indem man die beiden Schaltungseingänge miteinander verbindet und ein Signal
anlegt. Dann verändert man den variablen Widerstand so lange, bis die Ausgangsspannung gleich Null
ist.
103
Ein Nachteil der Schaltung ist ihr variabler Eingangswiderstand im invertierenden Eingang (ue1). Die
Differenzspannung uD ist gleich Null, so daß der invertierende Eingang des Operationsverstärkers am
Potential
un = uP = ue 2 *
a
1+ a
liegt. Am anderen Ende des Widerstandes R1/an liegt die Spannung ue1. Somit fließt ein Strom von
i e1 =
u e2 *
a
− u e1
a * u e 2 − (1 + a ) * u e1
1+ a
=
R1
(1 + a ) * R1
Somit ist der Eingangswiderstand ue1/ie1 des invertierenden Einganges von den Spannungen ue1 und
ue2 abhängig.
Der Eingangswiderstand am nichtinvertierenden Eingang ist hingegen unabhängig von der
Ansteuerung gleich
re 2 = R 2 +
R 2 1+ a
=
* R2
a
a
Der einfache Subtrahierer läßt sich zum Mehrfachsubtrahierer ausbauen (Bild 6.4): Der Ausdruck für
die Ausgangsspannung dieser Schaltung lautet:
m
n
i=1
i =1
u a = ∑ a i' * u 'ei − ∑ a i * u ei
unter der Voraussetzung, daß gilt
m
n
i =1
i =1
∑ a i' = ∑ a i
ue1
ue2
ue3
u'e1
u'e2
u'e3
R1
R1/a1
R1/a2
R1/a3
n Eingänge
_
R2/a'1
OUT
+
R2/a'2
R2/a'3
m Eingänge
ua
R2
Bild 6.4: Mehrfachsubtrahierer
Sollte diese Bedingung nicht erfüllt sein, so schafft ein geeigneter Widerstand vom P-Eingang oder NEingang gegen Masse geschaltet dieses Gleichgewicht: Legt man diesen Widerstand nach Masse, so
addiert man die Spannung 0 Volt mit einem bestimmten Gewichtsfaktor an+1 oder a'm+1 derart, daß
obige Bedingung erfüllt ist. Da uN = uP im allgemeinen nicht gleich Null ist, fließen Ausgleichsströme.
104
Der einfache Subtrahierer ist für Signalquellen mit hohen Innenwiderständen nicht geeignet, da wegen
des endlichen Widerstandes der Eingänge Spannungsabfälle an den Innenwiderständen der Quellen
auftreten. Deshalb werden den Eingängen des Subtrahierers vielfach Operationsverstärker mit
Elektrometergegenkopplung vorgeschaltet (Bild 6.5). Der Verstärkungsfaktor dieser Operationsverstärker ist gleich Eins. Als Ausgangsspannung erhält man bei dieser Schaltung
ua =
R2
* (u e 2 − u e1 )
R1
Zur Vermeidung der Gleichtaktverstärkung empfiehlt es sich, das Widerstandverhältnis R2/R1 bei
einem der Zweige regelbar zu machen (Bild 6.5). Schaltet man zwischen die Widerstände R1 und R2
ein niederohmiges Potentiometer RX, so kann man durch Drehen an RX die Gleichtaktverstärkung
minimieren. Diese Schaltung kann man einsetzen, um ein von starken Gleichtaktsignalen überlagertes
schwaches Gegentaktsignal zu verstärken. Ein solches Signal liegt beispielsweise bei EKG-Geräten
vor. Hier beträgt das Nutzsignal einige Millivolt, die überlagerte Brummspannung hingegen mehrere
Volt.
R2
+
_
ue1
OUT
R1
_
R1
OUT
+
_
RX
OUT
ua
+
ue2
R2
Bild 6.5: Subtrahierer mit vorgeschalteten Impedanzwandlern
Will man die Verstärkung dieser Schaltung variieren, so muß man zwei Widerstände gleichzeitig
ändern. Dies führt bei den Potentiometern unweigerlich zu Gleichlaufproblemen. Es ist daher wünschenswert, über eine Schaltung zu verfügen, bei der die Verstärkung mit Hilfe eines Potis eingestellt
werden kann. Eine solche Schaltung zeigt Bild 6.6. Hier sind die Impedanzwandler durch zwei
nichtinvertierende Verstärker ersetzt, die über den Widerstand R1 miteinander gekoppelt sind. Man
erhält bei idealen Operationsverstärkern die Gleichungen:
u a1 − u e1 = I * R 2
(I)
u e2 − ua2 = I * R 2
(II)
sowie
Die Reihenschaltung der Widerstände R2 und der Widerstand R1 liegen an den Ausgängen der
Operationsverstärker, so daß gilt
u a1 − u a 2 = I * (R1 + 2R 2 )
105
(III)
R3
+
R3
OUT
_
R2
I
R1
_
R3
ue1
_
R2
ua1
ua
R3
OUT
+
ue2
OUT
+
ua2
Bild 6.6: Subtrahierer mit einstellbarer Verstärkung und hohen Eingangswiderständen
Die Verstärkung des eigentlichen Subtrahierers ist gleich 1, da alle Widerstände R3 gleich sind. Die
Addition der Gleichungen (I) und (II) ergibt (IV):
u a1 − u a 2 − u e1 + u e2 = 2I * R 2
(IV )
Aus (III): ua1 - ua2 = I*(R1 + 2R2) erhält man
I=
u a1 − u a 2
R1 + 2R 2
(IIIa )
(IIIa) in (IV) ergibt:
u a1 − u a 2 = u e1 − u e 2 + (u a1 − u a 2 ) *
2R 2
R1 + 2R 2
2R 2
u e1 − u e 2 = u a1 − u a 2 − (u a1 − u a 2 ) *
R1 + 2R 2
R1
u e1 − u e 2 = (u a1 − u a 2 ) *
R1 + 2R 2
Berücksichtigen wir nun, daß der Subtrahierer die Spannung ua aus der Differenz ua2 - ua1 bildet, so
erhalten wir:
− u a = u a1 − u a 2 = (u e1 − u e 2 ) *
R1 + 2R 2
R1
Der endgültige Ausdruck für die Ausgangsspannung ua lautet dann
 2R 2 

u a = (u e 2 − u e1 ) * 1 +
R1 

Das Einstellen des Verstärkungsfaktor geschieht über den Widerstand R1.
Der in Bild 6.3 dargestellte Subtrahierer ist nur die Grundschaltung. Sehr weit verbreitet in der Meßtechnik sind hingegen Subtrahierschaltungen, wie sie in den Bildern 6.5 und 6.6 vorgestellt wurden.
Man kann IC’s und Hybride erwerben, die solche Schaltungen bereits vorabgeglichen enthalten. Den
Verstärkungsfaktor stellt man dann durch Verbinden einzelner Anschlüsse am Gehäuse ein.
106
Dazu eine konkrete Anwendung. Wer einmal eine Stereoanlage zusammengebaut hat, ist möglicherweise mit dem Finger an einen Verstärkeranschluß gekommen und wurde mit einem lauten
Brummen für seine Ungeschicklichkeit belohnt. Der Grund dafür ist, daß unsere Umgebung erfüllt ist
mit elektrischen und magnetischen Feldern, für die der Körper als Antenne dient. Berührt man mit dem
Finger dann den „heißen“ Anschluß eines Verstärkers, so brummt es im Lautsprecher. Berührt man
mit dem Finger ein Meßkabel eines Oszillographen, so sieht man, daß diese Brummspannung
mehrere Volt beträgt. Das menschliche Herz erzeugt bei seiner Arbeit Spannungen, die an der Körperoberfläche gemessen wenige Millivolt betragen. Will man diese Spannungen messen, so steht man
daher vor dem Problem, daß diese Signalspannung im unteren Millivoltbereich überlagert ist von
Störspannungen im Voltbereich. Da kann man nun unseren Subtrahierer einsetzen. Man nimmt zwei
Elektroden plus eine Referenzelektrode (die Masse) und leitet die beiden Signale einem Subtrahierer
zu. Unter der Voraussetzung, daß die Störspannungen gleich groß sind, werden diese Störungen
durch die Subtraktion eliminiert und das reine Nutzsignal bleibt übrig. Bild 6.7 zeigt die Eingangsschaltung eines Kanals eines EKG-Meßgerätes.
0.01µF
Verstärker/Subtrahierer
10kΩ
+
4.7kΩ
47kΩ
150kΩ
OUT
_
3.3MΩ
22kΩ
_
_
10kΩ
10kΩ
OUT
+
_
+
OUT
22kΩ
1µF
100kΩ
OUT
3.3MΩ
ua
+
Filter 0.04 Hz - 150 Hz
Bild 6.7: Eingangsschaltung eines EKG-Meßgerätes
Wir erkennen drei Stufen. Die erste Stufe ist ein Verstärker mit dem Verstärkungsfaktor
v = 1+
2 * 22kΩ
= 1 + 4.4 = 5.4
10kΩ
Die zweite Stufe ist der eigentliche Subtrahierer mit dem Verstärkungsfaktor
v=a=
47kΩ
= 4.7
10kΩ
Soweit die bis jetzt besprochene Schaltung. Es schließt sich über einen RC-Hochpaß ein Tiefpaßfilter
an, so daß wir ein Bandpaßverhalten haben. Die Eckfrequenzen sind 0.04 Hertz und 140 Hertz. Die
Verstärkung im mittleren Frequenzbereich beträgt etwa 33, so daß sich für die Gesamtschaltung eine
maximale Verstärkung von
v = 5.4 * 4.7 * 33 = 837
ergibt. Nach Angaben des Herstellers hat die Schaltung eine Gleichtaktunterdrückung bei 100 Hertz
von 86dB, was im linearen Maßstab einem Faktor von etwa 20000 entspricht. Die Schaltung ist relativ
alt, mit modernen Präzisionsoperationsverstärkern wäre warscheinlich noch eine höhere
Gleichtaktunterdrückung zu erreichen. Interessant ist der 3.3MΩ-Widerstand zwischen Rückkopplung
107
und invertierendem Eingang des letzten Operationsverstärkers. Er dient zur Offsetstromkompensation,
da am nichtinvertierenden Eingang der 3.3MΩ-Widerstand des Hochpasses liegt. Der Gleichspannungsabfall an diesem Widerstand muß kompensiert werden.
6.3 Integratoren
Bis jetzt war bei allen Schaltungen der Rückkopplungsfaktor k reell, als Bauelemente wurden nur
ohmsche Widerstände eingesetzt. Ersetzt man beim invertierenden Verstärker nach Bild 6.2 den
Rückkopplungswiderstand R2 durch einen Kondensator C, so erhält man den Umkehrintegrator (Bild
6.8). Diese Schaltung wurde in letzten Kapitel bereits kurz diskutiert.
C
ir
R1 ie
_
OUT
ue
+
ua
Bild 6.8: Umkehrintegrator
Bei einem idealen Operationsverstärker (uD = 0, iB = 0) gilt:
ie = −ir
uC = ua
Die Ströme lassen sich als Funktion der jeweils am Bauelement anliegenden Spannungen ausdrükken:
ie =
ue
R1
ir = C *
dua
dt
Dann lautet das Ergebnis für die Ausgangsspannung
t
1
ua = −
* ue (t ) dt + Ua 0
R1C ∫0
Ua0 ist die Kondensatorspannung bei Beginn der Integration zum Zeitpunkt t=0. Man muß
schaltungstechnisch sicherstellen, daß diese Spannung zu Beginn der Integration einen definierten
Wert, beispielsweise 0 Volt, annimmt.
Bei einem Spannungssprung der Eingangsspannung zur Zeit t = 0 von 0 Volt auf einen Wert Ue=const.
erhält man als Ausgangsspannung die Rampenfunktion:
ua (t ) = −
1
* Ue * t + Ua 0
R1C
Als Integrierzeit τ bezeichnet man das Produkt
aus R1 und C. Die Integrierzeit gibt an, nach
108
welcher Zeit für Ua0 = 0 die Ausgangsspannung den negativen Wert der Eingangsspannung erreicht
hat. Außerdem zeigt das Produkt die Grenzfrequenz f=1/2πR1C an, bei welcher Frequenz die
Verstärkung bei sinusförmiger Aussteuerung auf den Faktor 1 abgesunken ist (s. u.). Der lineare
Anstieg von ua bei konstanter Eingangsspannung wird zum Aufbau von Sägezahn- und Dreieckgeneratoren benutzt.
Stimuliert man den Integrator mit cosinusförmiger Eingangsspannung, so erhält man eine sinusförmige
Ausgangsspannung.
ua (t ) = −
t
1
U
* ∫ Ue max * cos(ω t ) dt + Ua 0 = − e max * sin(ω t ) + Ua0
R1C 0
ωR1C
Die Amplitude der Ausgangsspannung ist umgekehrt proportional zur Frequenz der Eingangsspannung. In doppelt logarithmischer Darstellung erhält man für den Frequenzgang des Integrators im
Bodediagramm eine mit -20 dB pro Dekade abfallende Gerade.
In komplexer Schreibweise erhält man für die Ausgangsspannung
ua = −
ZC
ue
* ue = −
R1
jωR1C
Der komplexe Rückkopplungsfaktor k ist
k=
jωR1C
jω τ
=
1 + jωR1C 1 + jω τ
Die Gleichung für k ist die für einen Hochpaß erster Ordnung. Wir finden im Bodediagramm eines
Hochpasses eine für 0<f<f1 unterhalb der lgf-Achse verlaufende, mit 20 dB/Dekade ansteigende
Gerade. Bei f1 = 1/2πτ knickt diese Gerade ab und verläuft auf der lgf-Achse. Bei niedrigen Frequenzen haben wir eine Phasenverschiebung von +90° zwis chen Ausgangsspannung und rückgekoppelter
Spannung. Bei f=f1 beträgt die Phasenverschiebung gerade 45° und geht für steigendes f
asymptotisch gegen Null. Bei der Rückkopplung eines Operationsverstärkers mit einem Hochpaß
addiert sich die Phasenverschiebung von k zur Phasenverschiebung von vD. Dies bedeutet, daß bei
niedrigen Frequenzen die Phasenverschiebung +90° st att 0° beträgt. Bei hohen Frequenzen überwiegt
die von vD verursachte Phasenverschiebung bei weitem, die von k spielt keine Rolle mehr. Daraus
folgt jedoch, daß beim Umkehrintegrator ebenso sorgfältig wie beim Umkehrverstärker kompensiert
werden muß. Den Amplitudenverlauf des Bodediagramms des Integrators zeigt Bild 6.9. Simuliert
wurde mit einem Operationsverstärker µA741, der Widerstand R1 betrug 1kΩ und die Kapazität C 1µF.
Daraus resultiert eine Grenzfrequenz 1/2πR1C von 159 Hz.
Das Bild zeigt die Differenzverstärkung vD, die Verstärkung v des Integrators, den Frequenzgang der
Rückkopplung k und den Frequenzgang von g im Frequenzbereich von 10mHz bis 50 kHz. Innerhalb
des gesamten dargestellten Frequenzbereiches ist die Verstärkung v eine Gerade mit einem Abfall von
20dB pro Dekade. Bei der Frequenz f=159Hz ist die Verstärkung gleich 1, also 0 dB. Bei dieser
Frequenz ist der Betrag von k gleich -3dB. Für noch niedrigere Frequenzen als 10mHz würde v =
ua/ue den Wert von vD übersteigen. Dies ist allerdings nicht möglich. Deshalb ist v für niedrige
Frequenzen etwa konstant. Dies ist im Bild allerdings nicht dargestellt. Im Diagramm ist noch eine
weitere, etwa trapezförmig verlaufenden Kurve g(f) eingetragen. Diese Kurve gibt den Verlauf der
Schleifenverstärkung g als Funktion der Frequenz wieder.
109
Frequenzgang des Integrators mit uA741
106dB
Differenzverstaerkung vD
84dB
80
Schleifenverstaerkung g
40
Verstaerkung v
22dB
159Hz
0
-3dB
-40
-80
Rueckkopplungsfaktor k
-84dB
10mh
VDB(VD)
100mh
VDB(OUT)
1.0h
VDB(K)
10h
100h
VDB(VD)+VDB(K)
1.0Kh
10kh
0
Frequency
Bild 6.9: Bodediagramm des Integrators (Simulation mit OP µA741, R1=1K, C=1µF)
Wir können den Betrag von g auch interpretieren als die Strecke zwischen vD(f) und v(f). Bei niedrigen
Frequenzen ist g klein, hier etwa 22db. Die Schleifenverstärkung steigt so lange mit 20 dB pro Dekade
an, bis vD(f) abknickt. Danach bleibt g etwa konstant bis f = f1 und fällt dann mit - 20 dB pro Dekade
ab. Bei f = f1 ist der "Knickpunkt" von k. k bleibt nun konstant und vD(f) fällt ab, also fällt auch lg g = lg
k*vD. Nun ist die Schleifenverstärkung g jedoch ein Maßstab für die Genauigkeit der Integration, die
hergeleiteten Gleichungen galten ja nur für g >> 1, da dann die 1 im Nenner von
v=
vD
1+ g
vernachlässigt werden kann. Sowohl für niedrige (k sehr klein) als auch hohe Frequenzen (vD klein) ist
g gering, die Rechengenauigkeit also nicht sehr groß. Lediglich in einem mittleren Frequenzbereich ist
g akzeptabel. Bei üblichen Dimensionierungen finden wir Werte von 60 bis 70 dB, also Zahlenwerte
von 1000 bis 3162. Der Rechenfehler beträgt daher etwa 1 Promille bis 0.3 Promille.
110
Offsetspannungen und Eingangsruheströme wirken sich beim Integrator besonders störend aus, weil
ihre Wirkungen sich durch die Integration aufsummieren (Bild 6.10).
C
ir
R1
_
iB
ie
OUT
+
ua
UO
Bild 6.10: Störgrößen beim Integrator
Bei ue = 0 erhält man
UO + i e * R 1 = 0 → i e = −
UO
R1
Weiterhin fließt ein Eingangsstrom iB in den invertierenden Eingang des Operationsverstärkers, so
daß gilt:
i e + ir = iB
Dann beträgt der Ladestrom des Kondensators
ir = iB − ie
und lädt den Kondensator auf folgende Spannung auf
t
t
U 
1
1 
u a = ∫ ir dt = ∫  iB + O  dt
C0
C0 
R1 
Man definiert als Eingangsfehler des Integrators die Größe dua/dt:
Eingangsfehler : ua ' =
dua ir
U 
1 
= = *  iB + O 
dt
C C 
R1 
Als Zahlenbeispiel: Rechnet man C = 1µF und iB = 100 nA, so steigt die Ausgangsspannung um 100
mV pro Sekunde an. Dabei ist die Offsetspannung noch nicht einmal berücksichtigt. Deshalb muß man
den Einfluß des Ruhestromes in kleinen Grenzen halten (Bild 6.11). Bei vorgegebener Integrierzeit τ ist
es somit ratsam, den Kondensator möglichst groß zu wählen, weil der Eingangsfehler proportional zum
Kehrwert des Kondensators ist. Elektrolytkondensatoren sollten jedoch wegen ihres Leckstromes im
µA-Bereich in Integratoren auf keinen Fall verwendet werden. Operationsverstärker mit FETEingängen haben außerordentlich geringe Eingangsruheströme und empfehlen sich daher zum
Einsatz in Integratoren. Ihre recht große Offsetspannung läßt sich kompensieren.
111
R2
C
C
R1
_
R1
_
OUT
+
ue
C1
OUT
ua
R3
+
ue
ua
Bild 6.11: Erweiterungen der Grundschaltung für den realen Operationsverstärker
Bild 6.11 zeigt zwei Erweiterungen der Grundschaltung für den realen Operationsverstärker. Die linke
Schaltung kompensiert die Eingangsruheströme. Der Kondensator C1 dient der Rauschunterdrückung.
Die rechte Schaltung setzt die Verstärkung des Operationsverstärkers für Gleichspannungen soweit
herab, so daß die Offsetspannung nur noch mit dem Faktor
v=−
R2
R1
verstärkt wird. Die Übertragungsfunktion lautet jetzt aber
v=
ua
R2
=−
ue
R1 * (1 + jωR 2C )
Der Widerstand R2 muß so groß gewählt werden, daß er in dem Frequenzbereich, in dem der Integrator arbeitet, nicht stört. Da R2/R1 immer noch groß sein kann, kommt man nicht darum herum, die
Offsetspannung vorher zu kompensieren. Die Methoden beider Bilder kann man auch kombinieren,
indem man den Widerstand R3 und den Kondensator C1 in das rechte Bild mit hinzunimmt.
Eine Möglichkeit, beim Integrator Anfangsbedingungen zu setzen und außerdem ein Halten der
Spannung nach dem Ende der Integrationsperiode zu erreichen, zeigt Bild 6.12. Die eingezeichneten
Schalter sind in Wirklichkeit CMOS-Transmission-Gates, welche durch eine Ablaufsteuerung oder
ähnliches geöffnet und geschlossen werden.
R2
R3
A
B
R1
UV
S2
_
S1
ue
A
C
B
OUT
+
ua
Bild 6.12: Anfangsbedingung und Halten des Ergebnisses beim Integrator
112
Ablaufsteuerung
Takt
Zum Setzen der Anfangsbedingung schließt man den Schalter S2, der Schalter S1 ist offen. Nach
einer gewissen Zeit hat sich am Ausgang die Spannung
UC = Ua 0 = −
R3
* UV
R2
eingestellt. Zu beachten ist hier der Einfluß des Kondensators C, der mit der Zeitkonstanten R3*C
aufgeladen werden muß. Schließt man nun S1 und öffnet S2, so wird die Integration der Eingangsspannung ue durchgeführt. Am Ende der Integrationszeit wird S1 erneut geöffnet und die Spannung
am Ausgang bleibt (beim idealen OP) erhalten.
Die bisherigen Ausführungen galten für VFAs. Bei CFAs gibt es ein Problem: Die Impedanz des
Kondensators kann bei hohen Frequenzen so gering werden, daß die Schaltung instabil wird. Wir
erinnern uns: Bei der nichtinvertierenden Grundschaltung mit dem Verstärkungsfaktor 1 durfte bei
CFAs der Ausgang aus Stabilitätsgründen nicht direkt an den invertierenden Eingang angeschlossen
werden, sondern es mußte ein Widerstand R2 vorhanden sein. Im Bild 6.13 sind drei Integratorschaltungen dargestellt.
R2
C
R1
R1
_
+
ua
+
_
ue
OUT
ue
C
R2
_
OUT
ue
R1
C
ua
OUT
+
Bild 6.13: Integratorschaltungen: a.) für VFAs, b.) und c.): für CFAs
Die erste Schaltung a.) ist die bereits besprochene Grundschaltung. Die beiden anderen Schaltungen
sind speziell für CFAs vorgesehen. In der Variante b.) liegt in Reihe mit dem Kondensator der Widerstand R2, der dafür sorgt, daß selbst bei hohen Frequenzen, bei der C bereits einen Kurzschluß
darstellt, ein minimaler Widerstand zwischen Ausgang und nichtinvertierendem Eingang liegt. Natürlich
ändert sich auch die Übertragungsfunktion. Wir erhalten
v=
1 + jωR 2 C
jωR1C
Die Übertragungsfunktion enthält einen frequenzabhängigen Term und geht für R2=0 in die ursprüngliche Übertragungsfunktion über. Die Variante c.) vermeidet dieses Problem, indem der Widerstand R2
vor den invertierenden Eingang geschaltet ist. Die Bedingung eines minimalen Widerstandes zwischen
Ausgang und invertierendem Eingang ist damit erfüllt, ohne daß die lästige Frequenzabhängigkeit im
Zähler der Übertragungsfunktion auftritt. Dafür fließt aber jetzt der Ruhestrom durch R2 und sorgt für
eine Offsetspannung. Und der Ruhestrom in den invertierenden Eingang ist bei CFAs besonders groß.
Vielfach wird der Unterschied zwischen einem einfachen RC-Glied und einem Integrator mit einem
RC-Glied in der Rückkopplung nicht verstanden. Deshalb sollen hier zwei Simulationen den Unterschied zwischen den beiden Schaltungen verdeutlichen (Bild 6.14).
113
ua
Bild 6.14: Simulationen von RC-Glied und Integrator. a.) AC-Analyse und b.) Transientenanalyse
Die Simulationen wurden mit R1=1kΩ und C=1µF und einem idealen Operationsverstärker vorgenommen. Wir erkennen im linken Teil des Bildes das typische Bodediagramm des RC-Gliedes: Zwei
Geraden. Die erste Gerade parallel zur x-Achse, die zweite mit einem Abfall von 20dB pro Dekade. Die
Grenzfrequenz ist f=1/2πR1C. Das Bodediagramm des Integrators ist eine einzige Gerade mit einem
Abfall von 20dB pro Dekade, bei der Grenzfrequenz ist die Verstärkung gleich 1. Nicht dargestellt ist
des Phasengang. Er reicht beim RC-Glied von 0 Grad bei niedrigen Frequenzen bis zu –90 Grad bei
sehr hohen Frequenzen. Der Integrator hingegen liefert bei allen Frequenzen eine Phasenverschiebung von –90 Grad. Rechts im Bild ist der zeitliche Verlauf der Ausgangsspannung bei einem
Sprung der Eingangsspannung von 0 Volt auf 1 Volt dargestellt. Man erkennt beim RC-Glied das
exponentielle Aufladen des Kondensators. Beim Integrator hingegen fällt die Ausgangsspannung linear
ab, denn das Integral einer Konstanten (hier 1 Volt) ist eine Gerade.
Die einfachste Anwendung eines Integrators ist das Tiefpaßfilter, welches einen Abfall der Verstärkung
von 20dB/Dekade bzw. 6dB/Oktave aufweist. Eine andere Anwendung ist in Bild 6.15 vorgestellt. Es ist
eine Schaltung zur Messung der magnetischen Flußdichte B beziehungsweise des magnetischen
Flusses φ.
S
R2
R1
φ(t)
L
R3
_
A
C
_
OUT
ue
OUT
+
u1
+
ua
Bild 6.15: Flußdichtemessung mit einem Integrator
Die Spule L sei sehr niederohmig, habe N Windungen und die Querschnittsfläche A. Die Spule sei so
gerichtet, daß der Fluß φ senkrecht auf der Querschnittsfläche steht. Dann gilt für die Leerlaufspannung der Spule bei Vernachlässigung ihres Innenwiderstandes
u e = −N *
dφ
dB
= −N * A *
dt
dt
Für die Flußdichte erhält man durch Integration
t
1
B=−
* ue dt
N * A ∫0
114
Die Leerlaufspannung der Spule ist auch die Eingangsspannung des ersten Operationsverstärkers.
Dieser verstärkt die Spannung mit dem Faktor –R2/R1, so daß für seine Ausgangsspannung gilt
u1 = −
R2
* ue
R1
Die Spannung u1 wiederum ist die Eingangsspannung des Integrators. Für seine Ausgangsspannung
erhalten wir
t
ua = −
1
* u1dt
R 3 * C ∫0
unter der Voraussetzung, daß der Schalter S bis zum Zeitpunkt t=0 geschlossen war und somit der
additive Anteil Ua(0) gleich Null ist.
t
t
 R2 
1
R2


ua = −
* −
ue dt =
* ue dt
R 3 * C ∫0  R1 
R1 * R 3 * C ∫0
Wir stellen die obige Formel für die Flußdichte B nach dem Integral um:
t
− B * N * A = ∫ ue dt
0
und erhalten für die Ausgangsspannung des Integrators zum Zeitpunkt T
T
R2
R2
ua (T ) =
* ∫ ue dt = −B * N * A *
R1 * R 3 * C 0
R1 * R 3 * C
Dann lautet der endgültige Ausdruck für die Flußdichte B
B = −ua (T ) *
R * R3 * C
1
* 1
N* A
R2
und für den Fluß
φ = B * A = −ua (T ) *
115
1 R1 * R 3 * C
*
N
R2
Eine Erweiterung des einfachen Umkehrintegrators ist der Summationsintegrator. Ihm werden über
mehrere Eingange verschiedene Spannungen zugeführt. Bild 6.16 zeigt die Schaltung.
ie1
R1
ie2
ue1
R2
ie3 R3
ue2
C
ir
_
OUT
ue3
ua
+
Bild 6.16: Summationsintegrator
Die Ausgangsspannung beträgt
ua = −
t
1  u e1 u e 2 u e3 

 dt + Ua0
+
+
C ∫0  R1 R 2 R 3 
Eine weitere Möglichkeit zur Integration einer Spannung bietet der nichtinvertierende Integrator. Er
besteht aus einem Negative Impedance Converter (NIC, s. u.) und einem Tiefpaß als Integrierglied.
Bild 6.17 zeigt die Schaltung. Da der Widerstand R1 zwischen Ausgang und invertierendem Eingang
vergleichsweise groß sein darf, ist diese Schaltung sehr gut für CFA-Strukturen geeignet. Bei der
„klassischen“ Grundschaltung gab es ja Stabilitätsprobleme, die durch den Einbau eines zusätzlichen
Widerstandes gelöst werden mußten.
R1
R1
_
OUT
+
R2 i
e
ir R2
iC
ue
ua
C
Bild 6.17: Nichtinvertierender Integrator
Die Spannung am invertierenden Eingang ist bedingt durch den Spannungsteiler mit den beiden
Widerständen R1 gleich ua/2. Bei idealen Verhältnissen (uD=0) ist dies ebenfalls die Spannung gegen
Masse am P-Eingang. Um das Verhalten der Schaltung zu analysieren, untersuchen wir die
Stromverhältnisse am nichtinvertierenden Eingang. Wir erhalten:
ue − uC ua − uC
du
+
−C* C = 0
R2
R2
dt
Dabei ist uC = uP. Bei idealem Operationsverstärker ist dies auch die Spannung uN. Durch Schaltungszwang (s. o.) ist die Spannung am invertierenden Eingang gleich ua/2:
ue −
ua
u
du
+ ua − a − R 2 * C * a = 0
2
2
2dt
116
Dieser Ausdruck läßt sich vereinfachen zu
ue = R 2 * C *
dua
2dt
Integriert man beide Seiten der Gleichung bezüglich der Zeit, so lautet das Ergebnis
t
ua =
2
* ue (t ) dt + Ua 0
R 2C ∫0
Wegen der Mitkopplung am P-Eingang muß der Innenwiderstand der speisenden Spannungsquelle
ue0 hinreichend klein sein. Außerdem tritt beim nichtinvertierenden Integrator wie bei der nichtinvertierenden Grundschaltung eine Gleichtaktverstärkung auf, deren Einfluß sich über die Integrationszeit
hinweg aufsummiert. Daher ist die Rechengenauigkeit dieser Schaltung geringer als die der Grundschaltung.
6.4 Differenzierer
Vertauscht man beim Umkehrintegrator Widerstand und Kondensator, so erhält man den
Differenzierer (Bild 6.18).
i R1
r
ie
C
_
OUT
+
ue
ua
Bild 6.18: Grundschaltung des Differenzierers
Bei idealem Operationsverstärker ist
ie = −ir
ir =
ua
R1
Damit gilt
ua = −R1 * ie
mit
ie = C *
due
dt
Die Abhängigkeit der Ausgangsspannung von der Eingangsspannung beim Differenzierer lautet daher
ua = −R1 * C *
due
dt
Bei sinusförmiger Eingangsspannung ue=Uemax*sinωt ergibt sich für ua
ua (t ) = − ω* R1 * C * Ue max * cos(ωt )
117
Der Betrag der Verstärkung, also das Verhältnis von Ausgangs- zu Eingangsamplitude beträgt dann
v = ω* R1 * C
Die Amplitude der Ausgangsspannung wächst linear mit der Frequenz der Eingangsspannung an. Der
Frequenzgang verläuft somit bei doppelt logarithmischer Darstellung als Gerade mit einer Steigung
von 20 dB pro Dekade. Die Phasenverschiebung zwischen ua und ue ist -90°. In komplexer Schreibweise lautet die Gleichung der Ausgangsspannung als Funktion der Eingangsspannung
ua = − jωR1 * C * ue
und für den komplexen Rückkopplungsfaktor k errechnet man
k=
1
1
=
1 + jωR1 * C 1 + jωτ
Es handelt sich dabei um die Gleichung eines Tiefpasses erster Ordnung. Für niedrige Frequenzen ist
die Phasenverschiebung zwischen ua und k*ua 0°, für hohe Frequenzen -90°. Im Grundlagenkapitel
wurde der Operationsverstärker durch eine Kette von drei RC-Tiefpässen modelliert. Die
Rückkopplung ist ein weiterer Tiefpaß, so daß wir im geschlossenen Kreis insgesamt vier Tiefpässe
vorfinden. Die durch die Rückkopplung verursachte Phasenverschiebung und die Phasenverschiebung
durch vD addieren sich, so daß die Schaltung zum Schwingen neigt; sie ist nicht stabil. Dieses
Problem läßt sich durch einen Widerstand Rv lösen, der in Reihe zum Kondensator geschaltet wird
(Bild 6.19) und für ausreichend hohe Frequenzen (XC»0) die Schaltung in einen invertierenden
Verstärker mit dem Verstärkungsfaktor v=-R1/RV umwandelt. Setzt man einen Kondensator mit einer
großen Kapazität ein, so fungiert diese Schaltung als invertierender Verstärker, bei der der Kondensator einen eventuellen Gleichspannungsanteil abblockt.
R1
C
RV
_
OUT
ue
+
ua
Bild 6.19: Schwingungssicherer Differenzierer
Für die Verstärkung sinusförmiger Größen finden wir den Ausdruck
v=
jωR1C
1 + jωR V C
Für niedrigre Frequenzen (ω<<1/RVC) ist der Nenner gleich 1, die Schaltung arbeitet als Differenzierer.
Bei hohen Frequenzen (ω>>1/RVC) fungiert sie als invertierender Verstärker mit dem Verstärkungsfaktor v=R1/RV.
Bild 6.20 zeigt das Bodediagramm des Differenzierers mit und ohne den Widerstand Rv. Es stellt die
Differenzverstärkung vD, die Verstärkung v mit und ohne RV, den Rückkopplungsfaktor k und die
Schleifenverstärkung g als Funktion der Frequenz dar. Bei der angegebenen Dimensionierung mit
C=1µF und R1=1kΩ ist der 3dB-Punkt der Rückkopplung bei f=159 Hertz erreicht. Bei dieser Frequenz
ist die Verstärkung v gleich 1. Man findet ein lineares Ansteigen der Verstärkung bis etwa 10kHz mit
einer Steigung von 20dB pro Dekade. Bei
derjenigen Frequenz, bei der der Betrag der
118
Schleifenverstärkung gleich 1 ist, findet man für RV=0 eine "Resonanz". Eine mit dieser Schaltung
durchgeführte Transientenanalyse ergab, daß der Differenzierer mit der gegebenen Beschaltung bei
Erregung durch eine Eingangsspannung gedämpfte Schwingungen mit dieser Frequenz generiert. (In
Wirklichkeit war die Schwingfrequenz etwas geringer, der Unterschied erklärt sich daher, daß Kleinsignal-- und Großsignalverhalten voneinander abweichen.) Durch das Einfügen des Widerstandes Rv
verschwindet die Überhöhung der Verstärkungskurve v, der Differenzierer arbeitet nun stabil. Für die
Dimensionierung von Rv hat sich folgende Vorgehensweise bewährt: Zuerst dimensioniert man R1 und
C nach den Erfordernissen der Aufgabe, welche die Schaltung zu bewältigen hat. Das Variieren von
R1 und C bedeutet im Bodediagramm ein Verschieben der mit 20dB pro Dekade ansteigenden
Geraden nach links oder rechts. Legt man R1 und C fest, so steht auch der Schnittpunkt dieser
Gerade mit der lgf-Achse fest und ebenso der Schnittpunkt dieser Gerade mit der Kurve vD(f). Als
Grenzfrequenz fv = 1/2πRVC wählt man die Frequenz, die zum Schnittpunkt der Gerade mit der Kurve
vD(f) gehört. In der Simulation war dies eine Frequenz von etwa 8.8kHz. Genau läßt sich dieser Punkt
nicht bestimmen, da v(f) in diesem Bereich nicht mehr linear verläuft. Der dazugehörige
Widerstandswert von RV beträgt dann etwa 18Ω. Das Einfügen von RV beeinträchtigt den nutzbaren
Frequenzbereich des Differenzierers nicht, denn bei höheren Frequenzen arbeitet die Schaltung
wegen abnehmender Schleifenverstärkung ohnehin nicht mehr exakt.
Bei niedrigen Frequenzen (f << 1/(2πRVC)) gilt auch weiterhin für die Ausgangsspannung
ua = −R1 * C *
due
dt
Die Verstärkung v sinkt nun von der Frequenz fV an mit 20 dB pro Dekade. Bei Universalkompensation und Einschalten von Rv erhält man also für die Verstärkung als Funktion der Frequenz eine
ungefähr dreieckförmige Kurve. Im letzten Schritt läßt sich durch Verkleinern des Kompensationskondensators des Operationsverstärkers die Grenzfrequenz der Anordnung noch etwas erhöhen
(angepaßte Kompensation). Man erhält als Resultat für die Verstärkung eine trapezförmig verlaufende
Kurve. Experimentell läßt sich mit Hilfe einer dreieckförmig verlaufenden Eingangsspannung die
Schaltung durch Variieren des Kompensationskondensators auf optimales Rechteckverhalten einstellen.
119
Frequenzgang des Differenzierers mit uA741 (RV=0 und RV=18Ohm)
Differenzverstaerkung vD
80
Verstaerkung v
(RV=0 Ohm)
Schleifenverstaerkung g
40
Verstaerkung v
(RV=18 Ohm)
159Hz
0
-3dB
-40
Rueckkopplungsfaktor k
1.0h
vdb(vd)@1
10h
vdb(out)
100h
vdb(k)@1
1.0Kh
10Kh
vdb(vd)@1+vdb(k)@1
100Kh
0
Frequency
Bild 6.20: Bodediagramm des Differenzierers
Der Differenzierer ist auch deshalb unbeliebt, weil aufgrund des Hochpaßcharakters das unvermeidliche Rauschen im Bereich der hohen Frequenzen stärker ausgeprägt ist als bei tiefen Frequenzen.
Hochfrequentes Rauschen wird jedoch störender als niederfrequentes Rauschen empfunden. Im Bild
6.21 ist eine typische Anwendung des Differenzierers dargestellt, ein Mikrofonverstärker. Wir haben
hier eine unipolare Versorgungsspannung, so daß eine künstliche Masse erzeugt werden muß. Der
Kondensator am Eingang des Verstärkers (das ist der Kondensator C des Differenziers) trennt die
unterschiedlichen Massepotentiale von Mikrofon und Verstärker voneinander.
R1=20kΩ
C
+
_
RV=620Ω
OP1 0.22µF
+
OUT
OP2
OUT
10µF
+
_
+
33kΩ
10kΩ
47pF
1MΩ log
künstliche Masse
OP3
47kΩ
OUT
=
_
1kΩ
+
ue
10kΩ
Bild 6.21: Mikrofonvorverstärker mit einer Betriebsspannung
120
UB
Die Schaltung besteht aus drei Operationsverstärkern. Zuerst zum Operationsverstärker OP3. Er dient
als Konstantspannungsquelle und wird in der nichtinvertierenden Grundschaltung als Buffer betrieben.
Die Spannung am nichtinvertierenden Eingang ist gleich UB/2 und die Ausgangsspannung daher
ebenso. Sie dient als künstliche Masse. Bei dem geringen Strombedarf der Schaltung könnte man
auch andere Schaltungen zur Erzeugung der künstlichen Masse einsetzen, aber diese Variante wurde
hier noch nicht vorgestellt. Der Ausgang des Operationsverstärkers ist niederohmig. Eigentlich sollten
ja bei allen Operationsverstärkern Abblockkondensatoren zwischen den Betriebsspannungen und
Masse liegen. Hier ist jedoch bei den Operationsverstärkern OP1 und OP2 Zurückhaltung geboten.
Wird die kapazitive Last am Ausgang eines Operationsverstärkers zu groß, kann die Schaltung instabil
werden. Details sind in Datenblättern angegeben.
Nun zur eigentlichen Schaltung. Der Operationsverstärker wirkt bei niedrigen Frequenzen als Differenzierer, bei hohen Frequenzen als invertierender Verstärker mit dem Verstärkungsfaktor
20kΩ
= −32.26
620Ω
v 1 / dB = 30.2
v1 = −
Bild 6.22 zeigt eine Simulation für drei verschiedene Kapazitäten C. Setzt man im Nenner der Formel
v=
jωR1C
1 + jωR V C
den Realteil gleich Imaginärteil, so ergibt sich für eine Grenzfrequenz von 10 Hertz eine Kapazität von
25.68 µF. Die Simulation für ein Rechtecksignal von 1 mV Eingangsspannung zeigt, daß diese
Berechnung völlig irreführend ist. Bei C=27µF wird eine Rechteckspannung von 10 Hertz sehr verzerrt.
Erst eine Kapazität von 270 µF führt zu einem einigermaßen befriedigenden Rechtecksignal am
Ausgang des Operationsverstärkers OP1. Dies liegt natürlich an der Frequenzabhängigkeit des
Nenners, welches zu einer Bevorzugung der hohen Frequenzen und damit zu einer Überbetonung der
Oberwellen führt.
Die Ankopplung des Mikrofons über den Kondensator C ermöglicht es, das kalte Ende des Mikrofons
mit der eigentlichen Masse zu verbinden. Die Verbindung zwischen OP1 und OP2 erfolgt über das RCGlied 0.22µF/1MΩ. Der Vorteil der kapazitiven Kopplung ist, daß eine Offsetspannung von OP1 nicht
an den Eingang von OP2 gelangt. Das Potentiometer ist gleichstromfrei. Dies unterdrückt mögliche
Kratzgeräusche beim Betätigen des Lautstärkereglers. Um eine dem menschlichen Hörempfinden
angepaßte Lautstärkeregelung zu gewährleisten, muß das Potentiometer eine logarithmische
Kennlinie aufweisen. Der Operationsverstärker OP2 wird als nichtinvertierender Verstärker betrieben.
Der 47pF-Kondensator parallel zum 33kΩ-Widerstand dämpft die Verstärkung bei hohen Frequenzen.
Die Kapazität dieses Kondensators sollte dem Mikrofon angepaßt werden. Im mittleren
Frequenzbereich hat der Operationsverstärker OP2 eine Verstärkung von
v 2 = 1+
33kΩ
= 34
1kΩ
v 2 / dB = 30.6
Ausgekoppelt wird die Ausgangsspannung über einen Kondensator von 10µF. Der Lastwiderstand hat
einen Wert von 47kΩ und liegt wiederum an der „richtigen“ Masse.
121
Bild 6.22: Simulation des Vorverstärkers mit einem Rechtecksignal (f=10 Hertz). Ausgangsspannung
des Operationsverstärkers OP1
Wir finden in der Schaltung im Signalweg insgesamt drei Hochpässe und einen Tiefpaß. Damit weist
die Schaltung ein Bandpaßverhalten auf., welches hauptsächlich von den Kondensatoren C und dem
47pF-Kondensator (und den dazugehörigen Widerständen) bestimmt wird. Das Hochpaßverhalten ist
wichtig, um Körperschall- und Poppgeräusche des Mikrofons zu unterdrücken, das Tiefpaßverhalten
begrenzt das Rauschen, welches bei hohen Frequenzen besonders störend ist.
Die Gesamtverstärkung des Mikrofonverstärkers beträgt
v ges = 32.26 * 34 = 1096
v ges / dB = 30.2 + 30.6 = 60.8
Abweichungen in den Zahlenwerten linear/logarithmisch sind auf Rundungsfehler zurückzuführen.
6.5 Logarithmierer und Exponentialverstärker
Ersetzt man in der invertierenden Grundschaltung den Widerstand R2 durch eine Diode, so erhält man
eine Schaltung, deren Ausgangsspannung dem Logarithmus der Eingangsspannung proportional ist.
Dies hat jedoch zwei Nachteile. Zum einen hat eine Diode einen nicht zu vernachlässigenden
Bahnwiderstand, der die Diodenkennlinie linearisiert und die Logarithmierung verfälscht. Außerdem
lautet die Gleichung der Diodenkennlinie
 mu*DU

ID = IS *  e T − 1




122
Der Emissionskoeffizient m gibt die Abweichung der realen Diodenkennlinie von der theoretischen
Kennlinie an und liegt zwischen 1 und 2. Dieser Faktor würde die Logarithmierung ebenfalls verfälschen. Mit Dioden läßt sich eine Logarithmierung nur über zwei Dekaden einigermaßen genau verwirklichen. Setzt man hingegen geeignete Transistoren und Operationsverstärker ein, so kann man
eine akzeptable Genauigkeit über 9 Dekaden des Kollektorstromes (pA bis mA) erreichen. Die
Grundschaltung zeigt Bild 6.23. Der Transistor wird in Basisschaltung betrieben.
IC
R1
IE
_
UD
Ue
OUT
+
Ua
Bild 6.23: Logarithmierer
Im Gegensatz zur bisherigen Darstellung wollen wir nun für die Ströme und Spannungen Großbuchstaben verwenden, um anzudeuten, daß es sich um Signale handelt, denen ein Gleichanteil überlagert
ist.
Die Kollektor-Basis-Spannung UCB = -UD ist bei idealem Operationsverstärker gleich Null. Dann gilt
− Ua = UBE ≈ UCE
Da die Ausgangsspannung Ua gleich der Basis-Emitter-Spannung des Transistors ist, kann man einen
etwa exponentiellen Zusammenhang zwischen Ausgangsspannung und Kollektorstrom erwarten. Der
Kollektorstrom IC des Transistors ist gleich dem Eingangsstrom Ie:
IC =
Ue
R1
Mit der Stromverstärkung α=ß/(ß +1) für die Basisschaltung erhält man
IC = α * IE = α * IES
 UUBE

*  e T − 1




Dabei ist UT die Temperaturspannung k*T/e. Wenn UBE wesentlich größer als die Temperaturspannung UT (etwa 26mV bei Zimmertemperatur) ist, läßt sich der Term "-1" in obiger Formel vernachlässigen und man erhält
UBE
Ua
−
U
IC = e = α * IES * e UT = α * IES * e UT
R1
Logarithmiert man diese Gleichung und löst nach Ua auf, so lautet das Ergebnis:


Ue

Ua = −UT * ln
 R1 * α * IES 
123
Dabei muß Ue beim npn-Transistor immer > 0V sein. Will man negative Eingangsspannungen logarithmieren, so muß man einen pnp-Transistor vorsehen. Da R1*α*IES sehr klein ist, kann man auch Ua
immer als kleiner als 0 ansetzen. Die Grundschaltung hat mehrere Nachteile und läßt sich in dieser
Form nicht einsetzen. Der Transistor arbeitet in Basisschaltung mit vu>1. Damit erhöht sich die
Schleifenverstärkung g und somit auch die Schwingneigung. Deshalb begrenzt man die Spannungsverstärkung des Transistors durch einen Gegenkopplungswiderstand RE auf R1/RE. Wird die Eingangsspannung kleiner als Null, so sperrt die Basis-Emitter-Strecke des Transistors und die Rückkopplung ist aufgetrennt. Der Operationsverstärker verstärkt dann die Eingangsspannung mit der Verstärkung vD und die Ausgangsspannung erreicht sehr schnell den Grenzwert +UB. Dies kann den
Transistor schädigen. Weiterhin benötigt ein übersteuerter Operationsverstärker eine gewisse Erholzeit, um wieder ordnungsgemäß arbeiten zu können. Deshalb ordnet man zwischen Ausgang und
invertierendem Eingang eine Diode an. Diese Diode ist für Ue>0 gesperrt und öffnet nur bei Ue<0 und
verhindert damit ein Übersteuern des Operationsverstärkers. Bild 6.24 zeigt eine Schaltung des
Logarithmierers mit dem Widerstand RE und der Diode.
D
R1
_
RE
OUT
Ue
+
Ua
Bild 6.24: Logarithmierer mit Schutzdiode und Emitterwiderstand
Ein weiterer Nachteil dieser Schaltung ist ihre Temperaturabhängigkeit. Der Sperrstrom des Transistors steigt sehr stark mit der Temperatur an. Bild 6.25 zeigt die Simulation der Schaltung aus Bild
6.23. Der Temperaturbereich variiert zwischen -100°C und +100°C in Schritten von 50°C.
T
Bild 6.25: Temperaturabhängigkeit der Logarithmierung
124
Man kann anhand dieses Bildes drei Dinge erkennen. Zum ersten ist die Logarithmierung bei kleinen
Spannungen (Ue≈0) ungenau, der Logarithmus von Null ist ja gleich -∞. Dies liegt daran, daß wir die
„-1“ beim Diodenstrom vernachlässigt haben. Zweitens ist die Logarithmierung eine Komprimierung:
Der Eingangsspannungsbereich von Null bis 2 Volt wird auf einen Ausgangsspannungsbereich
zwischen Null und weniger als 1 Volt abgebildet (maxmale Ausgangsspannung temperaturabhängig).
Und drittens ist das Temperaturverhalten der Schaltung lausig schlecht.
Daß bei dieser starken Abhängigkeit der Ausgangsspannung von der Temperatur eine vernünftige
Logarithmierung zu Meßzwecken unmöglich ist, liegt auf der Hand. Als Logarithmierschaltung benutzt
man daher zumeist eine Schaltung aus zwei Logarithmierern, welche den Logarithmus der Differenz
zwischen einer bekannten Referenzspannung und der Eingangsspannung bildet. Diese Differenz ist
weit weniger temperaturabhängig als die einzelnen Ausgangsspannungen. Die Schaltung zeigt Bild
6.26
IC1
R1
_
T1
T2
_
R3
OUT
Ue
+
IC2
R2
OUT
Ua R4
+
U1
=
Uref
Bild 6.26: Praktische Logarithmierschaltung
Das Prinzip dieser Schaltung beruht darauf, daß zwei Spannungen verarbeitet werden. Die erste
Spannung entsteht aus der unbekannten Eingangsspannung Ue und ist temperaturabhängig. Die
zweite Spannung entsteht aus einer beskannten Referenzspannung und hat den gleichen Temperaturgang. Die Logarithmierung wird von den beiden Transistoren T1 und T2 vorgenommen, die als
Differenzverstärker geschaltet sind. Ideal geeignet für solche Zwecke sind Transistorpaare in spezielen
Transisor-Arrays, bei denen diese Transistoren bereits emitterseitig miteinander verbunden sind. Dies
garantiert zwei Voraussetzungen, die für das einwandfreie Funktionieren der Schaltung wichtig sind:
Die Transistoren haben identische Daten und befinden sich auf der gleichen Temperatur.
Ein Maschenumlauf ergibt
U1 + UBE 2 − UBE1 = 0
U1 = UBE1 − UBE 2
Der Zusammenhang zwischen der Basis-Emitter-Spannung bei den Transistoren lautet
IC1 = B * IB0 * e
UBE1
UT
sowie
IC2 = B * IB 0 * e
UBE 2
UT
Die Stromverstärkung beider Transistoren sei gleich groß.
125
Wir bilden den Quotienten beider Kollektorströme
IC1
=e
IC 2
UBE 1 −UBE 2
UT
=e
U1
UT
und lösen nach U1 auf:
I 
U1 = UT * ln  C1 
 IC 2 
Die Kollektorströme sind gleichzeitig auch die Ströme durch die Widerstände R1 und R2. Die invertierenden Eingänge der Operationsverstärker sind virtuelle Masse.
Ue
R1
U
= ref
R2
IC1 =
IC 2
Dies wird in den Ausdruck mit dem Lorarithmus eingesetzt.
I 
 U * R2 

U1 = UT * ln  C1  = UT * ln  E
 IC 2 
 Uref * R1 
Jetzt ist ein Zusammenhang zwischen der Spannung U1 und der Eingangsspannung hergestellt. Die
Spannung U1 ist jedoch nur eine Hilfgröße, es interessiert jedoch die Ausgangsspannung Ua. Die
Spannung U1 entsteht durch Spannungsteilung aus Ua. Dem Spannungsteiler wird außerdem der
Basisstrom des Transistors T1 entnommen. Unter der Voraussetzung, daß die Stromverstärkung B
groß ist und der Spannungsteiler recht niederohmig ausgelegt wird, kann man den Spannungsteiler als
unbelastet ansehen.
Für U1 gilt
U1 =
R4
* Ua
R3 + R 4
Dieser Ausdruck läßt sich nach Ua auflösen. Wenn man dann noch den Ausdruck für U1 als Funktion
von Ue einsetzt, so erhält man
Ua = U T *
 U * R2 
R3 + R 4

* ln  E
R4
 Uref * R1 
Dieser Ausdruck ist nur noch geringfügig von der Temperatur abhängig, da UT proportional T ist.
Abhilfe kann man dadurch schaffen, daß man für den Widerstand eine Typ mit einem Temperaturkoeffizienten von 0.3%/K wählt oder die Schaltung in ein Gehäuse mit Thermostaten einbaut.
Es existieren auch andere Konzepte für Logarithmierschaltungen, die hier jedoch nicht weiter
besprochen werden sollen.
126
Vertauscht man im Bild 6.23 Widerstand und Transistor, so erhält man den Potenzierer oder Exponentialverstärker. Die Grundschaltung zeigt Bild 6.27. Der Transistor wird wiederum in Basisschaltung
betrieben.
R1
IE
IC
_
OUT
+
Ue
Ua
Bild 6.27: Grundschaltung des Potenzierers oder Exponentialverstärkers
Der Eingangsstrom der Schaltung ist gleich dem Emitterstrom des Transistors. Für den Emitterstrom
des Transistors gilt
IE = IES
uBE
 uUBE

T


* e − 1 ≈ IES * e UT




und mit der Stromverstärkung α = ß/(ß+1) der Basisschaltung:
IC = α * IE
Beim idealen Operationsverstärker liegt der invertierende Eingang an virtueller Masse und es ist UCB
= 0 und Ue = -UBE. Damit findet man für Ua:
Ua = R1 * IC = R1 * α * IES * e
UBE
UT
= R1 * α * IES * e
−Ue
UT
Setzt man einen npn-Transistor ein, so muß die Eingangsspannung Ue kleiner als Null Volt sein. Will
man positive Eingangsspannungen verarbeiten, so muß man einen pnp-Transistor vorsehen.
Für negative Eingangsspannungen steigt die Ausgangsspannung also exponentiell an. Auch hier gilt
bezüglich des Einsatzes einer Diode das bereits beim Logarithmierer Gesagte. Außerdem ist diese
Schaltung ebenso wie der Logarithmierer stark temperaturabhängig, so daß man Exponentialverstärker ähnlich wie Logarithmierer kompensiert. Bild 6.28 zeigt die Realisierung.
IC1
R1
T1
T2
IC2
_
_
OUT
+
R2
OUT
R3
+
Ua
=
Ue
R4
U1
Bild 6.28: Temperaturkompensierter Potenzierer
127
Uref
Der rechte Teil der Schaltung ist exakt der gleiche wie beim Logarithmierer. Wir finden
IC 2 =
Uref
R2
Der invertierende Eingang beider Operationsverstärker ist virtuelle Masse. Deshalb gilt hier für den
Kollektorstrom des Transistors T1:
IC1 =
Ua
R1
Da die Grundschaltung des Differenzverstärkers erhalten blieb, gilt für das Verhältnis der beiden
Kollektorströme wieder
U1
IC1
= e UT
IC 2
Allerdings wird die Spannung U1 nicht mehr aus der Ausgangsspannung des Operationsverstärkers
hergeleitet, sondern wird durch Spannungsteilung aus der Eingangsspannung gebildet.
U1 =
R4
* Ue
R3 + R 4
Auch hier stimmt diese Gleichung nur dann, wenn die Belastung des Spannungsteilers durch den
Basisstrom gering ist. Durch Einsetzen erhalten wir für die Ausgangsspanung den Ausdruck
R4
Ua = Uref
Ue
*
R
* 1 * e R3 +R 4 UT
R2
Mit Logarithmierern und Exponentialverstärkern lassen sich Multiplizierer, Dividierer, Potenzierer und
Radizierer aufbauen. In Bild 6.29 bedeutet "L" einen Logarithmierer, "E" einen Exponentialverstärker
und "V" einen Verstärker mit dem Verstärkungsfaktor V mit 0 < IVI < ∞. Die Symbole "+" und "-" stehen
für Addition und Subtraktion.
~ln u1
u1
[~(ln u1 - ln u2 = ln(u1/u2) )]
L1
[ua = K2 * u1 / u2]
[-]
+
u2
E
ua = K 1 * u1 * u2
L2
~(ln u1 + ln u2 = ln(u1*u2) )
~ln u2
u1
ua
L1
V
~ln u1
E
~v * ln u1 = ln u1
v
Bild 6.29: Anwendungen von Logarithmierer und Exponentialverstärker
128
v
ua = K 3 * u1
6.6 Negative Impedance Converter (NIC)
Bei einigen Anwendungen in der Elektronik benötigt man negative Widerstände, z. B. um Innenwiderstände von Spannungsquellen zu kompensieren oder Schwingkreise zu entdämpfen. Bei einem derartigen Widerstand haben Strompfeil und Spannungspfeil entgegengesetzte Richtung (Bild 6.30).
I
I
U
U
U*I>0
U*I<0
Bild 6.30: Strom- und Spannungspfeil an einem ohmschen und einem negativen Widerstand
Da wir mit dem Verbraucherzählpfeilsystem arbeiten, bedeutet U*I>0 eine aufgenommene Leistung:
Der ohmsche Widerstand erwärmt sich. U*I<0 bedeutet hingegen eine abgegebene Leistung. Der
negative Widerstand gibt elektrische Energie an seine Umgebung ab. Negative Widerstände kann man
daher nur mit aktiven Bauelementen aufbauen, die die Leistung einer Betriebsspannungsquelle in eine
Signalleistung umwandeln. Sie lassen sich außerdem grundsätzlich nur mit aktiven Bauelementen
realisieren, bei denen eine Mitkopplung auftritt. Bild 6.31 zeigt einen Vierpol, der das Verhalten eines
negativen Widerstandes hat sowie eine Realisierung mit einem Operationsverstärker. Bis jetzt haben
wir uns bei der Berechnung von Operationsverstärkerschaltungen hauptsächlich für den Verlauf der
Ausgangsspannung als Funktion der Eingangsspannung interessiert. Dies spielt hier jedoch nur eine
untergeordnete Rolle. Bei der Realisierung eines negativen Widerstandes interessiert hier mehr das
Verhältnis Spannung/Strom an einem Klemmenpaar.
OUT
i2
u1
u2 = u1
~
i1
u2
+
R
_
i1
u1
i1 = -i2
R
i2
u2
Bild 6.31: Vierpol, der einen negativen Widerstand darstellt sowie eine Realisierung
Den im Bild 6.31 vorgestellten Vierpol nennt man NIC (Negative Impedance Converter). Ein Strom
kann natürlich nur dann fließen, wenn der Vierpol mit externen Bauelementen beschaltet ist. Bild 6.31
links kann man wie folgt interpretieren: Man legt eine Spannung u1 an den linken Eingang des Vierpols
an. Die spannungsgesteuerte Spannungsquelle überträgt diese Spannung an das rechte
Klemmenpaar. Da Bauelemente an dieses Klemmenpaar angeschlossen sind, fließt aufgrund des
ohmschen Gesetzes ein Strom i2. Dieser Strom wird dann von der stromgesteuerten Stromquelle auf
die linke Seite übertragen.
Es gibt zwei Typen von NIC's: den UNIC und den INIC. Der UNIC kehrt bei gleichbleibendem Strom
die zugeführte Spannung um, der INIC bei gleichbleibender Spannung den zugeführten Strom. Die
Vierpolgleichungen des UNIC lauten:
u1 = −u 2 + 0 * i 2
i1 = 0 * u 2 + i 2
129
Die Vierpolgleichungen des INIC hingegen lauten:
u1 = u 2 + 0 * i 2
i1 = 0 * u 2 − i 2
Dabei lassen sich INICs mit Operationsverstärkern besonders leicht realisieren. Die vorgestellten
Schaltungen sind daher INICs. Es wurde bereits erwähnt, daß bei den Operationsverstärkern eine
Mitkopplung vorhanden sein muß. Damit die mitgekoppelte Schaltung nicht schwingt oder in einen
gesättigten Zustand geht, muß weiterhin eine Gegenkopplung vorhanden sein, deren Einfluß auf das
Verhalten der Schaltung größer ist als der der Mitkopplung. Bild 6.32 zeigt die Prinzipschaltung des
beschalteten INIC von Bild 6.31 rechts. Die Schaltung aus Bild 6.31 ist dabei eingerahmt. Dabei soll für
unsere Berechnungen jeweils nur eine der Signalquellen uen oder uep ungleich Null sein. In der
Realität können natürlich beide Quellen eine Spannung ungleich Null aufweisen, die sich einstellenden
Spannungen und Ströme kann man dann wie bei jedem linearen Netzwerk durch Überlagerung
bestimmen. Die Widerstände R1 und R2 können Widerstände angeschlossener Schaltungen oder die
Innenwiderstände der Signalquellen sein.
Zuerst wird angenommen, daß die Spannungsquelle uep aktiv ist und die Spannungsquelle uen 0 Volt
liefert. Der Operationsverstärker stellt die Ausgangsspannung ua derart ein, daß die Spannungen uP
und uN gleich sind:
uN = uP
Die Spannungsquelle uen liefert jetzt keine Spannung und hat einen Innenwiderstand von Null: Sie
kann durch einen Kurzschluß nach Masse ersetzt werden. Für die Spannung uN gilt nach der
Spannungsteilerregel
uN = ua *
und die Spannung up:
R2
R + R2
u P = u ep + (u a − u ep ) *
R1
R + R1
Ausrechnen und Gleichsetzen von uP und uN ergibt
uP = ua *
R1
R2
R
+ u ep *
= ua *
= uN
R + R1
R + R1
R + R2
uep *
R
= ua
R + R1
 R2
R1 

* 
−
R
+
R
R
+
R
2
1


Weitere Auflösung ergibt für ua
u a = u ep *
R
*
R + R1
1
R2
R1
−
R + R 2 R + R1
und führt zu dem Ergebnis
u a = u ep *
R + R2
R 2 − R1
130
OUT
R1
ua
R2
uP = u1
i1
uep
R
_
+
R
i2
uN = u2
~
~
uen
Bild 6.32: Prinzipschaltung eines INIC
Im Nenner steht die Differenz zweier Widerstände. Eine Differenz kann positiv oder negativ sein oder hier noch schlimmer - den Wert Null aufweisen. Wir helfen uns durch eine Plausibilitätsbetrachtung:
Legt man an den nichtinvertierenden Eingang eine positive Spannung an, so muß die Ausgangsspannung auch positiv sein. Dies ist nur der Fall, wenn der Nenner des Bruches positiv ist. Damit gilt:
R 2 > R1
Dies ist gleichzeitig auch die Stabilitätsbedingung: Wenn R2 größer ist als R1, so ist die Gegenkopplung über R2 stärker als die Mitkopplung über R1. Man sagt, die Schaltung ist leerlaufstabil bezüglich R2 und kurzschlußstabil bezüglich R1. Die Schaltung ist ein kurzschlußstabiler negativer
Widerstand -R2, da R1 Werte zwischen 0 und R2 annehmen darf.
Man kann aus obiger Gleichung für die Verstärkung noch etwas ersehen: Der Verstärkungsfaktor kann
groß werden. Dies bedeutet, daß bei der begrenzten Ausgangsspannung von Operationsverstärkern
(typisch etwa ±14 Volt bei VFAs) bereits eine relativ kleine Eingangsspannung uep ausreicht, um die
OPs zu übersteuern.
Für den Strom i2 erhält man dann
i 2 = u ep *
u ep
R + R2
1
*
=
R 2 − R1 R + R 2 R 2 − R1
Der Strom i2 wird durch die Spannung ua verursacht. Somit ist die Richtung von i2 vorgegeben: Der
Strompfeil zeigt in die gleiche Richtung wie ua. Doch nun zum Strom i1. Wenn der Strom i2 aus der
Schaltung herausfließt, so muß der Strom i1 dies auch tun, denn die Spannungsabfälle an den
Widerständen R sind wegen uN=uP jeweils gleich. Damit fließt der Strom i1 beim Anlegen einer
positiven Spannung nicht wie in Bild 6.32 angenommen, in die Schaltung hinein, sondern in die entgegengesetzte Richtung aus der Schaltung heraus. Die Schaltung reagiert somit wie der negative
Widerstand des Bildes 6.31.
Der Eingangswiderstand der Schaltung bezüglich des linken Klemmenpaares ist
re =
uP
u
u
= P = − N = −R 2
i1
− i2
i2
131
Man kann sich die Wirkungsweise des Schaltung auch folgendermaßen vorstellen: Legt man eine
positive Spannung uep an das linke Klemmenpaar an, so reagiert der Operationsverstärker mit einer
dermaßen hohen positiven Ausgangsspannung ua, daß ein Strom aus dem linken Klemmenpaar herausfließt.
Will man einen leerlaufstabilen negativen Widerstand, bei dem der Widerstand R2 zwischen R1
und ∞ liegen darf, so legt man nun die Spannungsquelle an den invertierenden Eingang des Operationsverstärkers: Setzt man die Spannung uep zu Null und legt eine Spannung uen an, so ist die
Ausgangsspannung ua gleich
u a = u en *
R + R1
R1 − R 2
Wenn uen positiv ist, so muß die Ausgangsspannung ua negativ sein, da uen am invertierenden Eingang anliegt. Dann muß der Nenner des obigen Bruches negativ sein und damit gilt:
R 2 > R1
Dies ist das gleiche Stabilitätskriterium wie bei der Stimulation mit uep. Nach einiger Rechnung erhält
man für die Spannung u2=uN:
u N = u en *
R1
R1 − R 2
Bei negativem Nenner ist uN bei positiver Spannung uen negativ und damit auch uP. Man kann sich
den Sachverhalt folgendermaßen vorstellen: Legt man eine positive Spannung uen an das rechte
Klemmenpaar an, so wird die Ausgangsspannung so stark negativ, daß die Spannung am rechten
Klemmenpaar ebenfalls negativ wird.
Für den Eingangswiderstand bezüglich des rechten Klemmenpaares gilt mit den angegebenen Pfeilrichtungen aus Bild 6.32
re =
u2
u
= 1 = −R1
− i 2 i1
Das Minuszeichen bei R1 rührt von den Pfeilrichtungen an linken Klemmenpaar her. Stimuliert man
den invertierenden Eingang, so wirkt die Schaltung wie ein negativer Widerstand -R1. Da nun R2
größer als R1 sein muß, handelt es sich um einen leerlaufstabilen negativen Widerstand -R1.
132
Bild 6.33: Eingangsstrom, Ausgangsspannung und Eingangswiderstand eines NICs als
Funktion der Eingangsspannung
Bild 6.33 zeigt den Eingangsstrom, Ausgangsspannung und den Eingangswiderstand eines NICs als
Funktion der Eingangsspannung. Der Operationsverstärker wird bei der Simulation durch eine ideale
Spannungsquelle repräsentiert, die bei einer Ausgangsspannung von ±15 Volt in die Sättigung geht.
Solange der Operationsverstärker nicht übersteuert, ändert sich die Ausgangsspannung linear mit der
Eingangsspannung. Nach dem Erreichen der Aussteuergrenzen bleibt die Ausgangsspannung dann
konstant auf 15 Volt. Solange die Ausgangsspannung ansteigt, ist die Differenz zwischen Eingangsspannung und Ausgangsspannung so groß, daß der Operationsverstärker einen Strom in die
Quelle hineintreibt. (Der Faktor „-1“ beim Strom im Bild 6.33 beruht auf der Definition der Strompolarität bei PSpice.) Wenn die Ausgangsspannung in die Sättigung geht, sinkt die Spannungsdifferenz zwischen Ausgangsspannung und Eingangsspannung, der Strom wird wieder kleiner.
Irgenwann geht er durch Null (hier nicht dargestellt) und wird positiv. Im linearen Bereich ist der
Quotient aus Eingangsspannung und Eingangsstrom konstant und negativ: Wir haben hier also einen
negativen Widerstand vor uns. Der „Ausrutscher“ bei VIN=0 ist darauf zurückzuführen, daß das
Ausgabeprogramm Probe von PSpice den Ausdruck „0 Volt dividiert durch 0 Ampere“ nicht richtig
interpretiert. Außerhalb der Aussteuergrenzen wird der negative Widerstand immer größer. Hier nicht
mehr dargestellt ist die Tatsache, daß der Widerstand gegen -∞ geht, dann von -∞ auf +∞ springt und
dann endliche positive Werte annimmt.
Die Schaltung nach Bild 6.32 kann man auch benutzen, um eine Spannungsquelle zu implementieren,
deren Ausgangsspannung u2 mit steigender Belastung ansteigt. Dazu setzt man uen zu 0 Volt und
stimuliert mit uep:
u 2 = u1 = u ep − i1 * R 1 = u ep + i 2 * R 1
133
Man erhält also eine steigende Ausgangsspannung u2, sobald man den Ausgang mit einer Last R2
versieht. Für den Ausgangswiderstand (rechtes Klemmenpaar) ergibt sich
ra = −
d(u ep + i 2 * R1 )
du 2
=−
= −R1
di 2
di 2
Die Spannungsquelle ist leerlaufstabil, d. h. der Lastwiderstand R2 darf zwischen R1 und ∞ schwanken.
Schließt man nun im guten Glauben an obige Gleichungen ein Labornetzteil an einen solchen NIC an
und erwartet, daß der NIC am anderen Klemmenpaar einen negativen Widerstand aufweist, so kann
man eine Überraschung erleben: Der Strom fließt an beiden Klemmenpaaren aus dem NIC heraus,
also auch in das Netzgerät hinein. Viele Netzgeräte können aber einen solchen Rückstrom nicht vertragen. Das Ergebnis sind zumindest Fehlfunktionen, wenn nicht sogar Defekte. Man kann jedoch
ohne weiteres einen Akkumulator benutzen, der dann aufgeladen wird.
Alle obigen Aussagen gelten sowohl für Gleich- als auch Wechselstrom und sowohl für Wirk- als auch
Blindwiderstände. Deshalb kann man mit dem NIC beliebige negative Impedanzen erzeugen. Schließt
man anstelle des Widerstandes R2 einen Kondensator C an, so erhält man als Eingangsimpedanz
Ze = −
1
= j ωL
j ωC
mit L =
1
ω2 C
Der Frequenzgang der Impedanz bleibt jedoch erhalten. Der NIC macht aus einer Impedanz die negative Impedanz, der weiter unten besprochene Gyrator hingegen macht aus einer Impedanz die Inverse.
Alle Regeln für die Parallelschaltung und Reihenschaltung von Widerständen gelten auch für negative
Widerstände.
Einen NIC kann man auch zum Entdämpfen verlustbehafteter Schwingkreise benutzen. Übersteigt die
Entdämpfung die Dämpfung, bilden sich Schwingungen aus. Die Amplitude dieser Schwingungen wird
durch die Übersteuerung des NICs begrenzt. Analysiert man Oszillatorschaltungen, so findet man
heraus, daß alle Oszillatoren in einem bestimmten Aussteuerbereich einen negativen Widerstand
darstellen. Näheres ist in Kapitel 10.2.1 dargestellt.
Wie bereits weiter oben erwähnt: Alle obigen Gleichungen gelten nur innerhalb des aussteuerbaren
Bereiches für die Eingangsspannung. Dieser Aussteuerbereich ist nicht ±UB, sondern lediglich für
u1=u2
u1 = u 2 = u a *
134
R2
R + R2
6.7 Der Gyrator und der allgemeine Impedanzkonverter
Der Gyrator wandelt eine Impedanz in ihre inverse (duale) Impedanz um. Bild 6.34 zeigt das Schaltsymbol des Gyrators, Bild 6.35 eine Darstellunge einer Realisierung mit INICs.
i1
RG
i1
i2
i2
i2 = u1/RG
u1
u1
u2
u2
i1 = u2/RG
Bild 6.34: Schaltsymbol des Gyrators und Realisierung mit spannungsgesteuerten Stromquellen
Die Übertragungsgleichungen des Gyrators lauten
i1 = 0 * u1 +
i2 =
u2
= 0 * u1 + S * u2
RG
u1
+ 0 * u2 = S * u1 + 0 * u2
RG
Der Kehrwert eines Widerstandes hat die Dimension „Siemens“ und dies ist auch die Dimension der
Steilheit S eines Feldeffektransistors oder gm =ß/rBE eines Bipolartransistors. In der Tat kann man
Gyratoren auch mit solchen Bauelementen aufbauen
u3
OUT
RG
RG
RG
_
RG
_
+
RG
+
OUT
Es handelt sich im Prinzip um eine Anordnung aus zwei spannungsgesteuerten Stromquellen.
A
D
i1
RG
u1
TOR 1
B
i2
TOR 2
C
u2
u4
RG
Bild 6.35: Schaltungstechnische Realisierung des Gyrators mit INICs
Zur Analyse des Verhaltens der Schaltung werden die Knotengleichungen A bis D herangezogen:
u 3 − u1 u 1
−
+ i1 = 0
RG
RG
Knoten A
u3 − u1 u 2 − u1
+
=0
RG
RG
Knoten B
u 4 − u 2 u1 − u 2
+
− i2 = 0
RG
RG
135
Knoten C
u4 − u2 u2
−
=0
RG
RG
Knoten D
Nach Eliminieren von u3 und u4 erhalten wir
i1 = 0 * u1 +
u2
RG
und
i2 =
u1
+ 0 * u2
RG
Schließt man an das Tor 2 eine Impedanz Z2 an, so gilt
i2 =
u2
Z2
Für u1 ergibt sich dann
u1 = i 2 * R G =
i1 =
u2 * R G
Z2
u2
RG
Die Eingangsimpedanz u1/i1 des Gyrators beträgt damit
Z1 =
u1 R G2
=
i1
Z2
oder
Z 1 * Z 2 = R G2
Der Gyrator erzeugt somit aus der Impedanz Z2 die inverse Impedanz Z1. Ein wichtiger Anwendungsfall ist die Erzeugung einer Induktivität aus einer Kapazität C mit der Impedanz 1/jωC. Dann gilt:
Z 2 = R 2G * jωC = jωL
mit L = R G2 * C
Hierzu zwei Beispiele. RG sei jeweils 10 kΩ, ein Widerstandswert, der in integrierten Schaltungen
problemlos realisiert werden kann. Mit einem Kondensator von 10 pF, ebenfalls leicht integrierbar,
erreicht man eine Induktivität von 1 mH. Mit einem Kondensator von 1 µF, einem durchaus akzeptablen Wert für Folienkondensatoren, werden sogar 100 H erreicht. Gyratoren erlauben den Aufbau
von "LC"-Filtern in integrierten Schaltungen ohne den Einsatz von Spulen. Weiterhin ist die
Transformation von Vierpolen möglich, wenn man diesen Vierpol zwischen zwei Gyratoren schaltet.
Darauf sei im Rahmen dieser Darstellung jedoch nicht eingegangen.
136
ue
OUT
Z2
_
Z3
_
ie
+
Z1
im
u1
um
Z4
+
OUT
Ein anderes, sehr ähnliches Netzwerk finden wir in Bild 6.36. Auch hier sind zwei NICs miteinander
verschaltet, die Widerstände nach Masse fehlen jedoch ebenso wie der Widerstand zwischen den
NICs in Bild 6.35.
ia
ua
u2
Bild 6.36: Allgemeiner Impedanzkonverter, General Impedance Converter „GIC“
Wir können folgende Gleichungen aufstellen:
A : u 2 − ue + ie * Z 1 = 0
B : u1 − u2 = im * (Z 2 + Z 3 )
C : u1 − um − im * Z 3 = 0
Einen Spannungsteiler finden wir auch:
D : ua = u1 *
ZL
Z 4 + ZL
Ideale Operationsverstärker vorausgesetzt, gilt
E : u e = um = u a
Wir stellen die Gleichung B um und erhalten
B * : im =
u1 − u2
Z 2 + Z3
Diese Gleichung wird in C eingesetzt:
u1 − um = (u1 − u2 ) *
Z3
Z 2 + Z3
um = u1 − (u1 − u2 ) *
Z3
Z 2 + Z3
137
ZL
Nun erinnern wir uns noch daß ue gleich um ist:
C * : ue = u1 − (u1 − u2 ) *
Z3
Z2 + Z3
Nun lösen wir die Gleichung D nach u1 auf und ersetzen im zweiten Schritt die Spannung ua durch ue:
u1 = ua *
Z 4 + ZL
ZL
D * : u1 = ue *
Z 4 + ZL
ZL
D* wird nun in C* eingesetzt:
C * : ue = u1 − (u1 − u2 ) *
ue = ue *
Z3
Z2 + Z3
Z3
Z3
Z 4 + ZL
Z + ZL
− ue * 4
*
+ u2 *
ZL
ZL
Z2 + Z3
Z 2 + Z3
Nach einigen Rechenschritten erhalten wir eine Beziehung zwischen ue und u2:
C ** : u 2 = ue *
Z 3 * ZL − Z 2 * Z 4
Z3 * ZL
Diesen Ausdruck setzen wir in die Gleichung A ein:
A : u 2 − ue + ie * Z 1 = 0
ue − ue *
Z3 * ZL − Z 2 * Z 4
= ie * Z 1
Z3 * ZL
Dies ergibt dann
Z2 * Z4
= ie * Z1
Z3 * ZL
ue *
Damit erhalten wir für die Eingangsimpedanz
Ze =
ue
Z *Z
= ZL * 1 3
ie
Z2 * Z4
Betrachten wir die Schaltung, so stellen wir fest, daß sie bis auf die Bauelementewerte Z1 bis Z4
symmetrisch ist. Deshalb können wir die Lastimpedanz ZL auch am linken Klemmenpaar anschließen
und die Eingangsimpedanz Za des rechten Klemmenpaares bestimmen. Wir lediglich die Impedanzen
im obigen Ausdruck und erhalten mit Za=ua/(-ia)
138
Za =
ua
Z * Z4
= ZL * 2
ia
Z1 * Z 3
Die Impedanz ZL wird also mit einem Faktor multipliziert, der von Z1 bis Z4 abhängt. Nehmen wir
einmal an, daß Z3 ein Kondensator ist und alle anderen Impedanzen ohmsche Widerstände. Dann
erhalten wir für Ze und Za
Z e = .R L *
R1
1
1
*
=
R 2 * R 4 jωC jωC *
mit
C* = C *
R2 * R4
R1 * R L
Wir finden ein kapazitives Verhalten vor. Anders, wenn man den Lastwiderstand am linken Anschluß
anklemmt und das Verhalten am rechten Klemmenpaar betrachtet:
Z a = .R L *
R2 * R4
* jωC = jωL
R1
mit
L=
RL * R 2 * R 4
*C
R1
Die Schaltung verhält sich am rechten Klemmenpaar wie eine Induktivität. Dies ist auch eine der
Hauptanwendungen dieser Schaltung: Da reale Spulen in der Elektronik nach Möglichkeit nicht mehr
eingesetzt werden, läßt sich hiermit auf elegante Art und Weise eine Spule mit Hilfe von anderen
elektronischen Bauelementen aufbauen.
Natürlich kann man in die Schaltung von Bild 6.36 auch eine Spule einbauen um eine andere Induktivität oder eine Kapazität zu erzeugen, dies wird jedoch aus naheliegenden Gründen nicht gemacht.
Richtig interessant wird es jedoch, wenn man statt eines Kondensators C zwei Kondensatoren C1 und
C3 einbaut. Man erhält dann einen „Superkondensator“ oder eine „Superspule“:
Z e = RL *
RL
1
1
1
1
* 2
*
*
=−
R 2 * R 4 j ωC 1 j ωC 3
R 2 * R 4 ω C1C 3
Der Superkondensator hat eine Phasenverschiebung von -180° (das Minuszeichen) und einen
2
Frequenzgang umgekehrt proportional zu ω .
Für die „Superspule“ erhalten wir
Z a = .R L * R 2 * R 4 * jωC1 * jωC 3 = ω 2 * C1 * C 3 * R L * R 2 * R 4
Solche Bauelemente werden bei der Implementierung von Filtern angewandt.
Wenn man von den Bauelementewerten einmal absieht, ist die Schaltung in Bild 6.36 völlig symmetrisch. Man kann in den obigen Berechnungen auch Z2 und Z4 statt Z3 und Z5 ersetzen, muß dann
allerdings Eingang und Ausgang vertauschen.
139
6.8 Komparatoren und Schmitt-Trigger
Bei Komparatoren und Schmitt-Triggern wird die volle Verstärkung vD des Operationsverstärkers
ausgenutzt. Bild 6.37 zeigt einen Komparator und seine Kennlinie.
+ UB
+
_
Uref
OUT
200µV
ua
ue
Uref
ue
- UB
- UB
Bild 6.37: Komparator und Kennlinie
Gezeigt ist der invertierende Komparator: Der Operationsverstärker ist unbeschaltet, lediglich die
Offsetspannungskompensation wurde vorgesehen. Eventuell ist auch eine Offsetstromkompensation
notwendig. Am nichtinvertierenden Eingang liegt eine Referenzspannung Uref, am invertierenden
Eingang die Signalspannung ue. Wenn ue kleiner als die Referenzspannung Uref ist, so ist der
Ausgang positiv, ansonsten negativ. Der Operationsverstärker ist dabei übersteuert und liefert seine
maximale bzw. minimale Ausgangsspannung. Wir nehmen einmal an, daß dies die positive und
negative Betriebsspannung sind. Das Umschalten von +UB auf -UB erfolgt in einem Eingangsspannungsbereich von wenigen hundert Mikrovolt (2UB/vD). In diesem Bereich der Eingangsspannung
arbeitet der Operationsverstärker mit seiner vollen Differenzverstärkung vD. Wegen der endlichen
Slew Rate der Operationsverstärkers geht der Wechsel der Ausgangsspannung nicht augenblicklich
vonstatten, selbst wenn die Eingangsspannung sich sehr schnell ändert. Bei kompensierten
Standardoperationsverstärkern liegt die Slew Rate in der Größenordnung von 1 V/µs. Dann dauert der
Anstieg von -15 Volt auf +15 Volt 30 µs. Außerdem ist der Operationsverstärker übersteuert, wenn uD
größer ist als UB/vD. Deshalb erfolgt der Wechsel der Ausgangsspannung noch langsamer, da der
Verstärker eine Erholzeit benötigt. Der Verzicht auf Frequenzkompensation des Operationsverstärkers
kann die Slew Rate des OP‘s um etwa den Faktor 20 verbessern. Da keine Gegenkoppplung
eingesetzt wird, ist eine Kompensation ohnehin unnötig. Für schnelle Logikschaltungen sind
Schaltzeiten von 30 µsec fast schon Gleichstrom. Deshalb gibt es Komparatoren, die einen
nachgeschalteten Pegelwandler (±UB auf 0Volt/5Volt) haben, der außerdem noch die Schaltzeiten
verbessert. Wegen der fehlenden Gegenkopplung der Schaltung ist der Ausgangswiderstand nicht
mehr im Milliohmbereich, sondern gleich dem Ausgangswiderstand des unbeschalteten
Operationsverstärkers.
Man kann einen Komparator auch als einen 1 Bit-Analog/Digitalwandler betrachten. Bild 6.38 zeigt
einen N-Bit A/D-Wandler nach dem sogenannten Flash-Verfahren.
N
N
Ein Spannungsteiler aus lauter gleichen Widerständen R erzeugt 2 Teilspannungen, die von 2
Komparatoren mit der Signalspannung ue verglichen werden. Je nach Eingangsspannung führen eine
Anzahl Komparatoren, von Untersten angefangen, eine logische 1, der Rest bis zum obersten
Komparator eine logische 0. Eine Logik setzt diese Signale in eine Binärzahl um. Der Vorteil dieses
Verfahrens ist, daß die Binärzahl sehr schnell („Flash“ heißt „Blitz“) umgesetzt wird, der Nachteil liegt
N
im Einsatz von 2 Komparatoren, die alle auf dem Chip untergebracht werden müssen. Dies begrenzt
die Wortbreite dieser Wandler.
140
_
OUT
R/2
+
_
OUT
R
Dekodierer 2N => N
+
_
OUT
R
Uref
+
=
N Bit
_
ue
~
OUT
R
+
_
OUT
R/2
+
Bild 6.38: Prinzip eines Flash-Analog/Digital-Wandlers
Durch den Einsatz einer Mitkopplung läßt sich das Schaltverhalten von Komparatoren bei kleinen
Aussteuerungen bis hin zur Slew Rate beschleunigen. Ein solcher mitgekoppelter
Operationsverstärker wird auch Schmitt-Trigger genannt. Diese Schaltungen besitzen außerdem
noch eine Schalthysterese. Da die Mitkopplung aus ohmschen Widerständen besteht, gibt es keine
Schwingneigung, sondern die Ausgangsspannung kann nur zwei Werte, Uamax und Uamin
annehmen, wobei Uamin im allgemeinen < 0 ist. Bild 6.39a zeigt den nichtinvertierenden SchmittTrigger, Bild 6.39b die invertierende Variante. Die Schaltungen ähneln der nichtinvertierenden und der
invertierenden Grundschaltung sehr. Es sind jedoch die Eingänge des Operationsverstärkers
vertauscht, so daß keine Gegenkopplung mehr vorliegt, sondern eine Mitkopplung. Außerdem ist
der N-Eingang in Bild 6.39a keine virtuelle Masse mehr. Die Bedingung für das Umspringen der
Ausgangsspannung bei beiden Schaltungen ist, daß die Differenzspannung uD das Vorzeichen wechselt. Durch die Mitkopplung wird nach dem Vorzeichenwechsel vom Ausgang Spannung der gleichen
Polarität zum P-Eingang geführt. Diese Spannung bewirkt, daß die Ausgangsspannung noch schneller
ansteigt usw.
_
R2
OUT
+
R1
+
OUT
_
ue
R2
ue
ua
ua
R1
a
b
Bild 6.39: a) nichtinvertierender und b) invertierender Schmitt-Trigger
141
Zum nichtinvertierenden Schmitt-Trigger nun folgende Berechnung:
uP = 0 = ue + (ua − ue ) *
R1
R1 + R 2
u e * R 2 + ua * R 1 = 0
ue = −ua *
R1
R2
Der gleiche Rechengang wäre auch zur Berechnung des invertierenden Verstärkers möglich gewesen.
Es gibt jedoch Unterschiede zum invertierenden Verstärker:
1.
Beim invertierenden Verstärker ist die Differenzspannung uD gleich Null (idealer OP vorausgesetzt). Die Ausgangsspannung kann beliebige Werte zwischen Uamin und Uamax
annehmen.
2.
Beim Schmitt-Trigger kann die Ausgangsspannung nur die Werte Uamin und Uamax annehmen, Zwischenwerte sind nur für sehr kurze Zeit möglich. Die Differenzspannung kann
beliebige Werte (schaltungsabhängig) annehmen. Berechnet wird die Eingangsspannung ue,
bei der die Differenzspannung zu Null wird, weil dann die Ausgangsspannung umspringt.
Bei der nun folgenden Berechnung wird der Einfachheit halber angenommen, daß beide Spannungen
Uamax und Uamin entgegengesetzt gleich groß sind:
Ua max > 0
Ua min = −Ua max
Ist ua = Uamin, so bewirkt eine Spannung ue
ue < ueein = −Ua min *
R1
R2
dem (positiven) Einschaltpegel, noch kein Umschalten der Ausgangsspannung auf Uamax. Sobald
dieser Pegel jedoch erreicht ist, schaltet der Ausgang auf Uamax um und bleibt bei Erhöhung von ue
konstant. Verringert man nun die Eingangsspannung ue wieder, so springt der Ausgang erst bei Erreichen der Spannung
ueaus = −Ua max *
R1
R2
der (negativen) Ausschaltspannung, zurück auf Uamin. Man hat daher zwei unterschiedliche Spannungspegel für das Umschalten der Ausgangsspannung. Die Kennlinie des nichtinvertierenden
Schmitt-Triggers weist somit eine Hysterese auf. Die Breite der Hystereseschleife, die Schalthysterese, ist
∆ue = (Ua max − Ua min ) *
R1
R2
Ähnliche Verhältnisse findet man beim invertierenden Schmitt-Trigger vor. Für das Einschalten, also
den Sprung der Ausgangsspannung von Uamin nach Uamax, findet man
142
ueein = Ua min *
R1
R1 + R 2
ueaus = Ua max *
R1
R1 + R 2
und für das Ausschalten
Die Schalthysterese beträgt
∆ue = (Ua max − Ua min ) *
R1
R1 + R 2
Die Hysteresekurven des nichtinvertierenden Schmitt-Triggers zeigt Bild 6.40a, die des invertierenden
Bild 6.40b.
Uamax
Uamax
∆ue
ueaus
∆ue
ueein
ueaus
ueein
ue
ue
Uamin
Uamin
a
b
Bild 6.40: Hysteresekurven des nichtinvertierenden (a) und des invertierenden Schmitt-Triggers (b)
Bild 6.41 zeigt den Unterschied im Schaltverhalten zwischen einem Komparator und einem nichtinvertierenden Schmitt-Trigger. Um jegliche Einflüsse der Slew Rate zu eliminieren, wurde eine Sinusschwingung mit einer Amplitude von 1 Volt und der Frequenz 1 Hertz simuliert. Man erkennt, daß der
Komparator in den Nulldurchgängen der Sinusschwingung schaltet, jedoch sind die Anstiegsflanken
aufgrund der endlichen Verstärkung relativ flach, da die Sinusschwingung den Umschaltbereich
langsam durchfährt. Der Schmitt-Trigger hingegen schaltet sehr schnell um. Die Umschaltschwellen
betragen etwa 0.723 Volt mit einem Widerstandswert von R1=1kΩ und R2=20kΩ. Die maximale
(minimale) Ausgangsspannung beträgt etwa (-)14.3 Volt. Die Hysterese kann beispielsweise dazu
benutzt werden, um bei einem verrauschten Eingangssignal ein häufiges Umschalten des SchmittTriggers aufgrund des Rauschanteiles zu verhindern. Eine überlagerte Rauschspannung würde
letztendlich dazu führen, daß im Bereich des Nulldurchganges ein Komparator mehrere Male schalten
würde. Dies ist beim Schmitt-Trigger nicht der Fall. Steuert man mit dem Ausgangssignal des
Komparators einen Zähler, so würde dieser nicht nur bei den Nulldurchgängen der Eingangsspannung
um Eins weiterzählen, sondern auch bei den durch das Rauschen verursachten Nulldurchgängen. Dies
würde zu einem völlig falschen Zählergebnis führen. Dies geschieht beim Schmitt-Trigger nicht. Eine
solche Anwendung finden wir beispielsweise bei einem Frequenzzähler: Dieses Gerät mißt die Anzahl
der Nulldurchgänge eines periodischen Signals pro Zeiteinheit.
143
Unterschiede zwischen Komparator und Schmitt-Trigger
10V
Komparator
Schmitt-Trigger
Komparator
723mV
Schmitt-Trigger
Eingangsspannung
Hysterese
0V
-724mV
-10V
1.0s
V (IN)
1.1s
V (KOUT)
1.2s
V (SOUT)
1.3s
0
1.4s
1.5s
1.6s
Time
.
Bild 6.41: Schaltverhalten von Komparator und nichtinvertierendem Schmitt-Trigger
Eine konkrete Anwendung des Schmitt-Triggers ist ein Oszillator, dessen Frequenz von einem
Feuchtesensor bestimmt wird. Den Aufbau des Sensors zeigt Bild 6.42
poröse
Deckelektrode
H2O
DKε
Substrat
Bild 6.42: Feuchtesensor: Aufbau und Kennlinie
Ein solcher Feuchtesensor besteht aus zwei Elektroden, die auf einem Substrat (beispielsweise Glas)
aufgebracht sind. Die Elektroden werden von einem Dielektrikum eingehüllt, dessen Dielektrizitätskonstante ε besonders empfindlich auf Feuchtigkeit reagiert. Dies kann Aluminiumoxid oder
Zelluloseacetat sein. Den Abschluß bildet ein dünner Film, der das Dielektrikum vor Verschmutzung
schützt. Die feuchte Luft dringt duch diesen Film in das Dielektrikum ein. Da Wasser eine relative hohe
Dielektrizitätskonstante ε aufweist (bis zu εr=80 in flüssiger Form), ändert sich die Kapazität der
Anordnung, wenn sich die relative Luftfeuchtigkeit ändert. Der Verlauf der Kapazität als Funktion der
relativen Luftfeuchtigkeit ist ebenfalls dargestellt. Mit steigender Luftfeuchte nimmt die Kapazität zu,
leider ist der Anstieg jedoch nichtlinear. Bei hohem Frequenzen ist dieser Effekt nicht so ausgeprägt
wie bei niedrigen Frequenzen.
144
Ein solcher Sensor dient als frequenzbestimmendes Bauelement in der Schaltung nach Bild 6.43.
Dabei handelt es sich um einen invertierenden Schmitt-Trigger, dessen Ausgangsspannung ein RCGlied speist. Die Ausgangsspannung dieses RC-Gliedes wird wiederum zurückgekoppelt auf den
Eingang des Schmitt-Triggers.
_
R
OUT
+
R2
CS
R1
Bild 6.43: Oszillator mit Feuchtesensor als frequenzbestimmendem Bauelement
Die Funktionsweise ist wie folgt (s. a. Kapitel 10.3.1.2): Der Schmitt-Trigger kann nur zwei
Ausgangsspannungen liefern: etwa ±UB. Nehmen wir einmal an, daß der Schmitt-Trigger gerade
umgeschaltet hat und +UB liefert. Dann ist der Kondensator auf eine negative Spannung aufgeladen
(invertierender Schmtt-Trigger). Nun liegt eine positive Spannung am RC-Glied an und die Spannung
am Kondensator steigt exponentiell an. Sie geht durch Null und wird positiv. Sobald die
Kondensatorspannung den Wert ueaus erreicht hat, schaltet der Schmitt-Trigger um und die
Spannung -UB liegt am RC-Glied an. Nun entlädt sich der Kondensator exponentiell, seine Spannung
wird schließlich negativ. Sobald die negative Schaltschwelle ueein erreicht ist, schaltet der SchmittTrigger wieder um, seine Ausgangsspannung ist nun wieder gleich +UB. Damit ist ein Zyklus
durchlaufen, der sich nun immer wieder wiederholt. Die Kondensatorspannung schwankt exponentiell
zwischen ueein und ueaus, die Ausgangsspannung des Schmitt-Triggers zwischen +UB und -UB. Wir
können am Ausgang des Operationsverstärkers eine rechteckförmige Spannung abnehmen.
Da diese Schaltung in einer Übungsaufgabe durchgerechnet wird, sei hier nur die Frequenz des
Rechtecksignales am Ausgang des Operationsverstärkers angegeben:
f=
1
 2 R1 

2RC S * ln1 +
R 2 

Damit ist die Frequenz umgekehrt proportional zur Kapazität des Feuchtesensors. Wir haben hier also
eine Schaltung vor uns, die die relative Luftfeuchte in eine Frequenz umwandelt.
145
Netzlisten
Frequenzgang des Integrators mit uA741
.LIB C:\LUDE\PS\SIM.LIB
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
VIN IN 0 AC 1V
R1 IN INV 1000
C1 OUT INV 1U
R2 X0 0 1000; WG: OFFSETSTROEMEN
X1 X0 INV PLUS MINUS OUT UA741; BESTIMMUNG VON V
X2 X1 IN1 PLUS MINUS VD UA741; BESTIMMUNG VON VD
R3 IN IN1 1000
R4 X1 0 1000; WG. OFFSETSTROEMEN
CK IN K 1U; BERECHNUNG VON K
RK K 0 1000
.OP
.AC DEC 40 0.01 50K
.END
Unterschied zwischen Integrator und RC-Glied
E1
R1
R2
V1
C2
C1
OUTOP 0 0 INV 1000000
IN INV 1k
IN OUTRC 1k
IN 0 DC 0 AC 1 PULSE 0 1 0 10n 10n 1
OUTRC 0 1u
INV OUTOP 1u
.ac DEC 101 1 1MEG
.tran 0ns 4m
.OP
.probe
.END
Frequenzgang des Differenzierers mit uA741 (RV=0 und RV=18Ohm)
.LIB C:\LUDE\PS\SIM.LIB
.PARAM R2=18
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
VIN IN 0 AC 1V
R2 IN IN2 {R2}
C1 IN2 INV 1U
R1 OUT INV 1000
R5 X0 0 1000; WG: OFFSETSTROEMEN
X1 X0 INV PLUS MINUS OUT UA741; BESTIMMUNG VON V
X2 X1 IN1 PLUS MINUS VD UA741; BESTIMMUNG VON VD
R3 IN IN1 1000
R4 X1 0 1000; WG. OFFSETSTROEMEN
RK IN K 1000; BERECHNUNG VON K
CK K 0 1U
146
.STEP PARAM R2 LIST 0.001 18
.OP
.AC DEC 40 1 500K
.END
Vorverstaerker
.PARAM
wert=10
E_OP1
out1 0 TABLE { V(K0, inv) } ( (-15u,-15) (15u,15) )
E_OP3
K0 0 TABLE { V($N_0001, K0) } ( (-15u,-15) (15u,15) )
R4
in1 inv 620
R3
inv out1 20k
C2
out1 in2 220n
R8
K0 in2 1MEG
R6
K0 inv2 1k
C3
out2 out 10u
VDC
plus 0 15V
RSPT1
$N_0001 plus 10k
RSPT2
0 $N_0001 10k
RLAST
out 0 47k
VIN
IN 0 DC 0 AC 1 PULSE 0 1m 0 10n 10n 49999990n 0.1
E_OP2
out2 0 TABLE { V(in2, inv2) } ( (-15u,-15) (15u,15) )
R5
inv2 out2 33k
C1
IN in1 {2.7u*wert}
C4
inv2 out2 47p
.ac DEC 101 0.1 1MEG
.tran 10n 2 1.8
.STEP PARAM wert LIST 1, 10, 100
.probe
.END
Logarithmierer
Q1
INV 0 OUT QbreakN
E1
OUT 0 TABLE { V(0, INV) } ( (-15,-15) (15,15) )
VIN
IN 0 DC 0 AC 1 0
R1
IN INV 10K
.DC LIN V_VIN 0 2 0.01
.STEP LIN TEMP -100 100 50
.OP
.probe
.END
Negative Impedance Converter
RZ
0 out1 2k
E1
out 0 TABLE { V(in, out1) } ( (-15u,-15) (15u,15) )
VIN
in 0 DC 1
RA
in out 1k
RB
out out1 1k
.DC LIN V_VIN -13 13 0.01
.OP
.probe
.END
147
Unterschiede zwischen Komparator und Schmitt-Trigger
.LIB C:\LUDE\PS\SIM.LIB
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
VIN IN 0 SIN (0 1 1)
R1 IN SNINV 1000
R2 SOUT SNINV 20000
VOFFSET OFFS 0 19U
X1 IN OFFS PLUS MINUS KOUT UA741; KOMPARATOR
X2 SNINV 0 PLUS MINUS SOUT UA741; SCHMITT-TRIGGER
*.DC LIN VOFFSET -200U 200U 5U; ERMITTELN DER OFFSETSP. DES KOMPARATORS
.TRAN 1m 1.7 0.99
.OP
.END
Weitere Literatur: an47fa.pdf
148
7. Bipolar- und Feldeffekttransistoren: Arbeitspunkteinstellung
Im Folgenden werden wir uns mit der Arbeitspunkteinstellung von Bipolar- und Feldeffekttransistoren
beschäftigen. Erst im nächsten Kapitel werden dann die Kleinsignalersatzschaltbilder und die eigentlichen Grundschaltungen besprochen. Dies hat folgenden Grund: Die beiden Transistorarten (Bipolar
und Feldeffekt) unterscheiden sich sehr wohl in der Einstellung des Arbeitspunktes. Ihre Kleinsignalersatzschaltbilder sind jedoch nahezu identisch. Wenn man etwas großzügig in der Wahl der Symbole
ist, sogar völlig identisch. Deshalb halte ich es für sinnvoll, Arbeitpunkteinstellung und Grundschaltung
in verschiedenen Kapiteln zu behandeln, wobei wir bei den Grundschaltungen beide Transistorarten
zusammen betrachten werden.
7.1 Funktionsweise von Bipolar- und Feldeffekttransistor
Der folgende Abschnitt soll keine Bauelementevorlesung ersetzen und lediglich die wichtigsten Tatsachen noch einmal ins Gedächtnis zurückrufen. Dabei werden nur die einfachsten Effekte
berücksichtigt und auf Halbleiterphysik ganz verzichtet.
7.1.1 Die Funktionsweise des Bipolartransistors
Bipolartransistoren gibt es in zwei Ausführungen: npn- und pnp-Typen, Beim npn-Typ sind die Spannungen von Basis und Kollektor gegen Masse positiv, beim pnp-Typ negativ. Bild 7.1 zeigt links oben
den schematischen Aufbau eines npn-Transistors.
C
IC
IC
n
B
UCEsat
p
=
IB
UBE
UCE
n
=
E
IC, iC
IB
IE
IB = const
UCE
rCE
Bild 7.1: npn-Transistor: Aufbau, Kennlinienfeld und Ersatzschaltbild der Kollektor-Emitter-Strecke
Der Transistor besteht aus drei Schichten dotierten Halbleitermaterials, hier in der Folge n-dotiert, pdotiert und wieder n-dotiert. Die „unterste“ Schicht ist der Emitter („Aussender“). Die zweite Schicht ist
die Basis. Zwischen Basis und Emitter legt man eine positive Spannung. Der pn-Übergang ist in Flußrichtung gepolt, es fließt ein Strom aus dem Emitter in die Basiszone hinein. Die dritte Schicht ist der
Kollektor („Sammler“). Zwischen Kollektor und Emitter liegt eine relativ hohe positive Spannung an und
der pn-Übergang Kollektor-Basis ist in Sperrichtung gepolt. Ein großer Teil der Ladungsträger, die aus
dem Emitter in die Basiszone strömen, fließt nun nicht in die Basis ab, sondern aufgrund der großen
elektrischen Feldstärke in die Kollektorzone hinein und von dort über die äußere Beschaltung in den
Emitter zurück. Im Bild 7.1 ist im Transistor die Stromrichtung der Ladungsträger eingezeichnet,
außerhalb des Transistors die technische Stromrichtung. Der Emitterstrom teilt sich also in Basisstrom
und Kollektorstrom auf und es besteht eine enge
Beziehung zwischen Basis- und Kollektorstrom.
149
Wichtig für uns ist:
Der Basisstrom bestimmt den Kollektorstrom. Der Bipolartransistor ist ein stromgesteuertes Bauelement. Will man den Kollektorstrom des Transistors einstellen, so
muß dies über den Basisstrom geschehen.
Wenn die elektrische Feldstärke im pn-Übergang zwischen Basis und Kollektor nur groß genug ist, so
ist der Strom nahezu unabhängig von dieser Feldstärke. Dies finden wir auch im Ausgangskennlinienfeld. wieder. Bei jeder der Kurven IB=const. hängt der Kollektorstrom nur unwesentlich von der
Kollektor-Emitter-Spannung ab. Der Kollektorstrom ist nahezu unabhängig von UCE.
Wir können uns daher die Kollektor-Emitter-Strecke einen Bipolartransistors als Konstantstromquelle
vorstellen. Der Konstantstrom (Kollektorstrom) wird von Basisstrom bestimmt. Die Änderung des
Kollektorstromes ∆IC bei einer Änderung der Kollektor-Emitter-Spannung ∆UCE kann man durch
einen der Stromquelle parallelgeschalteten Innenwiderstand rCE modellieren:
rCE =
∆UCE
∆IC
Diese Ausführungen gelten sowohl für einen reinen Gleichstrom IC als auch für einen diesem Gleichstrom überlagerten Wechselstrom iC.
Es gilt
IC = B * IB
und
iC = ß * iB
Dabei nennt man B die Gleichstromverstärkung des Transistors und ß die Wechselstromverstärkung.
Sie sind in der Realität leicht verschieden. In diesem Skript wird jedoch nicht zwischen beiden unterschieden.
Wo ein Strom fließt, muß natürlich auch eine Spannung vorhanden sein. Das gilt natürlich auch für die
Basis-Emitter-Strecke. Wir finden hier die exponentiell verlaufende Diodenkennlinie vor: Bereits
geringfügige Änderungen der Basis-Emitter-Spannung UBE bewirken eine große Änderung des Basisund damit des Kollektorstromes. Auch deshalb ist es günstiger, einen bestimmten Basisstrom
einzustellen, um einen bestimmten Kollektorstrom zu erhalten. Die notwendige Basis-EmitterSpannung stellt sich dann automatisch von selbst ein.
Noch ein letzter Blick ins Ausgangskennlinienfeld des Bipolartransistors. Der Kollektorstrom geht bei
kleinen Kollektor-Emitter-Spannungen gegen Null. In diesem Bereich wirkt der Transistor wie ein
geschlossener Schalter mit endlichen Widerstand: Zwischen Kollektor und Emitter fällt die Sättigungsspannung UCEsat ab. Dieser Bereich ist für Digitaltechniker interessant, da hier die logische Null bei
positiver Logik liegt. Dies ist auch der Grund dafür, daß bei TTL-Schaltungen die logische Null einem
Ausgangsspannungsbereich von bis zu 0.2 Volt entspricht. Je größer der Kollektorstrom wird, umso
größer wird UCEsat. Dies liegt daran, daß die dotierten Bereiche einen ohmschen Widerstand aufweisen. Der Kollektorstrom erzeugt einen Spannungsabfall. Wichtig für uns ist, daß dieser Sättigungsbereich nicht als ohmscher Widerstand genutzt werden kann. Beim Feldeffekttransistor werden wir
andere Verhältnisse vorfinden.
150
7.1.2 Feldeffekttransistoren
7.1.2.1 Die Funktionsweise des Feldeffekttransistors
=
Bei den Feldeffekttransistoren finden wir eine völlig andere Funktionsweise vor. Mußte der Kollektorstrom beim Bipolartransistoren zwei Sperrschichten passieren, finden wir beim Feldeffekttransistor
statt dessen einen Kanal (Bild 7.2).
UDS
ID
=
UGS
G
S
ID
D
n
n
I
II
Kanal
p-Substrat
UGS = UK
G
S
UGS
D
n
p-Substrat
ID, iD
n
UGS = const.
Kanal
UDS
rDS
Bild 7.2: Feldeffekttransistor: Aufbau, Kennlinienfeld und Ersatzschaltbild der Drain-Source-Strecke
Hier ist der Aufbau eines n-Kanal-MOSFETs beschrieben. In einem p-dotierten Substrat finden wir
zwei n-dotierte Zonen, das Drain und das Source. Die Strecke zwischen Drain und Source ist von einer
Oxydschid bedeckt, auf die eine Metallisierung aufgebracht ist. Dies ist der Gate-Anschluß. Legt man
zwischen Gate und Source eine Spannung an, so bildet sich ein leitfähiger Kanal zwischen Drain und
Source. Nun müssen wir zwei Fälle unterscheiden:
Die Gate-Source-Spannung ist kleiner als die Spannung UK:
Der Kanal ist über die volle Strecke zwischen Drain und Source ausgebildet. Der Transistor
verhält sich im Prinzip wie ein ohmscher Widerstand. Dies ist der sogenannte „Ohmsche
Bereich“ oder „Anlaufbereich“, im Kennlinienfeld mit einer „I“ gekennzeichnet. Der Zusammenhang zwischen UDS und ID ist hier fast linear, mit einer geeigneten Gegenkopplung sogar
völlig linear. Diesen ohmschen Bereich finden wir beim Bipolartransistor nicht.
Die Gate-Source-Spannung ist größer als die Spannung UK:
Der Kanal ist teilweise abgeschnürt, die Drain-Source-Strecke verhält sich wie eine Konstantstromquelle mit großem Innenwiderstand. In diesem Bereich, auch „Abschnürbereich“
genannt, verhält sich der FET ähnlich wie ein Bipolartransistor. Das Kennlinienfeld (Bereich II)
ist ähnlich dem des Bipolartransistors.
151
Das Ersatzschaltbild der Drain-Source-Strecke gleicht dem Ersatzschaltbild der Kollektor-EmitterStrecke, lediglich die Bezeichnungen sind anders.
Es gibt noch einen sehr wichtigen Unterschied zwischen bipolaren und Feldeffekttransistoren:
Der Feldeffekttransistor ist ein spannungsgesteuertes Bauelement, es fließt kein
Steuerstrom. Die Gate-Source-Spannung bestimmt den Drainstrom. Will man einen
Draingleichstrom ID einstellen, so muß man eine bestimmte Gate-Source-Spannung
UGS anlegen.
Für den Drainstrom bei einem MOSFET gilt
ID = K * (UGS − UTh ) * (1 + λ * UDS )
2
Dies bedeutet einen quadratischen Zusammenhang zwischen UGS und UD.
7.1.2.2 Bauweisen von Feldeffekttransistorstransistoren und deren Kennlinien
Im Bild 7.3 sind die unterschiedlichen Bauformen von Feldeffekttransistoren dargestellt.
Feldeffekttransistoren
Sperrschicht-FET,
MOSFET
JFET
Verarmungstypen
(Depletion)
n-Kanal
p-Kanal
NMOSDepletion
PMOSDepletion
Anreicherungstypen
(Enhancement)
NMOSPMOSEnhancement Enhancement
Bild 7.3: Bauformen von Feldeffekttransistoren
Man unterscheidet zwischen Sperrschichtfeldeffekttransistoren (Junction-FET, JFET) und Isolierschicht-Feldeffekttransistoren, deren wichtigste Vertreter die MOSFETs (Metal Oxide Semiconductor-FET) sind. Bei Sperrschichtfeldeffekttransistoren befindet sich zwischen dem Kanal und der
Gateelektrode ein in Sperrichtung gepolter pn-Übergang, bei MOSFETs eine Oxydschicht.
Analog zu den npn- und pnp-Transistoren gibt es N-Kanal-FETs und P-Kanal-FETs. Bei N-Kanaltypen
ist das Drain positiver als die Source, bei P-Kanaltypen umgekehrt. Weiterhin unterscheidet man
Verarmungstypen (Depletion Mode, selbstleitend) sowie Anreicherungstypen (Enhancement
Mode, selbstsperrend). Depletiontypen leiten bei UGS = 0 V, Enhancementtypen hingegen sperren bei
UGS = 0 V. Bei Sperrschichtfeldeffekttransistoren nennt man die Spannung, bei der kein Drainstrom
mehr fließt, Pinch Off-Spannung UP, bei
MOSFETs heißt die Spannung, bei der die
152
Transistoren gerade zu leiten beginnen, Threshold-Spannung UTh. JFETs sind grundsätzlich
Verarmungstypen, ihr größter Drainstrom fließt bei UGS = 0 V. Bei Anreicherungstypen hat UGS das
gleiche Vorzeichen wie UDS, bei Verarmungstypen im allgemeinen entgegengesetztes Vorzeichen.
Dies gilt auf jeden Fall für JFETs, da sonst die Gate-Source-Diode leiten würde. Bild 7.4 zeigt die
Eingangskennlinie und die Ausgangskennlinien des N-Kanal JFETs 2N3819. Das Bild wurde aus den
Ergebnissen zweier PSpice-Simulationen zusammengesetzt.
Eingangskennlinie und Ausgangskennlinienfeld des N-Kanal JFETs 2N3819
12mA
IDSS
ID
UGS = 0V
10mA
I
II
8mA
UGS = -0.5V
UGS = UK
6mA
UGS = -1V
4mA
UGS = -1.5V
UGS = -2V
2mA
UGS = -2.5V
UP
-3V
0
-2V
-1V
0V
2V
4V
UGS
6V
8V
10V
UDS
Bild 7.4: Kennlinien des N-Kanal-JFETs 2N3819
Links erkennt man die Eingangskennlinie des JFETs: Den Drainstrom ID als Funktion der GateSource-Spannung UGS. Diese Kurve schneidet die UGS-Achse bei der Spannung UP. UP ist die
Pinch Off-Spannung. Wird UGS kleiner als UP, so fließt, von einem geringfügigen Reststrom im nABereich abgesehen, kein Drainstrom mehr. Die Kurve schneidet die ID-Achse im Punkt IDSS. Dies ist
der größtmögliche Drainstrom, den der JFET liefern kann (bei UDS = -UP). Hier ist UGS = 0 V. Erhöht
man UGS weiter in den positiven Bereich hinein, so leitet die Gate-Source-Diode und der Transistoreffekt geht verloren. MOSFETs vom Verarmungstyp hingegen kann man mit UGS > 0 V betreiben. Bei
JFETs fließt ein geringer Sperrstrom als Eingangsstrom, bei MOSFETs lediglich ein Leckstrom. Diese
Ströme haben Werte von 1 pA bis 1 nA.
Die Steigung der Kurve ID=f(UGS) bezeichnet man als Steilheit S. Sie ist ein wichtiger Kleinsignalparameter und variiert mit UGS. Den größten Wert der Steigung erhält man für UGS = 0 V. Die im
folgenden angegeben Ausdrücke entsprechen den Spice-Modellen für JFETs und MOSFETs; für die
MOSFETs wurde dabei das First Order-Modell nach Shichman und Hodges benutzt.
Im Ausgangskennlinienfeld ID = f(UDS) erkennt man wieder die zwei Bereiche I und II. Der Bereich I
liegt links von der Kurve UDS=UK=UGS-UP, der Bereich II rechts davon. Wenn UDS den Wert UK
erreicht, so wird der Kanal abgeschnürt. Dies ist der Übergang vom Ohmschen in den Abschnürbereich.
153
Für den Bereich I , den ohmschen Bereich oder Anlaufbereich gilt
JFET:
0<UDS<UGS-UP
Drainstrom ID:
ID =
IDSS
* UDS * (2 * (UGS − UP ) − UDS ) * (1 + λ * UDS )
UP2
Innenwiderstand RDS:
R DS =
UDS
UDS
=
IDSS
ID
* UDS * (2 * (UGS − UP ) − UDS ) * (1 + λ * UDS )
UP2
R DS =
UDS
UP2
=
ID
IDSS * (2 * (UGS − UP ) − UDS ) * (1 + λ * UDS )
Für UDS=0 erhält man das Resultat, daß der Widerstand des JFETs im ohmschen Bereich gleich dem
Kehrwert der Steigung im Abschnürbereich ist:
R DS UDS =0 =
UP2
2IDSS * (UGS − UP )
Für UGS=UP wird der Widerstand unendlich groß. Sein Minimum hat er mit
R DSein = R DSON = RDS UDS =0,UGS =0 =
UP
2IDSS
bei UGS=0. Diesen Wert nennt man auch RDSmin, RDSON oder RDSein. Dieser Widerstandswert ist
der minimal mögliche Widerstand der Drain-Source-Strecke und charakterisiert den JFET als Schalter.
MOSFET:
0<UDS<UGS-UTh
Drainstrom ID:
ID = K * UDS * (2(UGS − UTh ) − UDS ) * (1 + λ * UDS )
Innenwiderstand RDS
R DS =
UDS
UDS
=
ID
K * UDS * (2(UGS − UTh ) − UDS ) * (1 + λ * UDS )
R DS =
K * (2(UGS
1
− UTh ) − UDS ) * (1 + λ * UDS )
Im ohmschen Bereich benutzt man den Transistor als steuerbaren Widerstand. Will man den Transistor als verstärkendes Element benutzten, so betreibt man ihn im Bereich II, dem Abschnürbereich.
UDS muß dann immer größer als UK sein. Die Steigung der Kennlinie im Abschnürbereich ist ein Maß
für den dynamischen Innenwiderstand rDS. Dieser Widerstand rDS begrenzt die maximale
Spannungsverstärkung.
154
Für den Abschnürbereich oder Bereich II gilt
JFET:
0<UGS-UP<UDS
Drainstrom ID:
ID =
IDSS
2
* (UGS − UP ) * (1 + λ * UDS )
2
UP
2
 U 
ID = IDSS * 1 − GS  * (1 + λ * UDS )
UP 

Steilheit S:
S=
2I
dID
= DSS
* (UGS − UP ) * (1 + λ * UDS )
dUGS
UP2
IDSS 
U
* 1 − GS
UP 
UP
2ID
2
S=
=
(UGS − UP ) UP
S=2

 * (1 + λ * UDS )


* IDSS * ID * (1 + λUDS )
Der Drainstrom hängt quadratisch von der Spannung UGS ab und ist maximal gleich IDSS für UGS
und UDS gleich 0 Volt. Die Steigung ("Steilheit") S der Parabel ist gleich der Ableitung der Parabelgleichung für konstantes UDS: Die Betragszeichen wurden eingeführt, um die Formeln sowohl für NKanal-Transistoren wie auch P-Kanal-Transistoren einfach anwendbar zu machen. S ist proportional
UGS und der Wurzel von ID. Die größte Steilheit findet man bei UGS = 0 V mit
Smax =
2IDSS
UP
dynamischer Innenwiderstand rDS:
1
rDS
MOSFET:
= gDS =
I
dID
2
= DSS
* λ * (UGS − UP )
2
dUDS UP
0<UGS-UTh<UDS
Beim JFET läßt sich ein maximal möglicher Drainstrom IDSS angeben. Beim MOSFET darf selbst bei
Verarmungstypen die Spannung UGS die gleiche Polarität haben wie die Spannung UDS. Deshalb
kann man keinen Strom IDSS angeben.
Drainstrom ID:
ID = K * (UGS − UTh ) * (1 + λ * UDS )
2
Steilheit S:
S=
dID
= 2K * (UGS − UTh ) * (1 + λ * UDS )
dUGS
S=
2ID
= 2 * K * ID * (1 + λUDS )
(UGS − UTh )
dynamischer Innenwiderstand rDS:
155
1
rDS
= gDS =
dID
2
= K * λ * (UGS − UTh )
dUDS
Zu den obigen Gleichungen noch ein Hinweis: Der Faktor λ ist klein, so daß man den Klammerausdruck (1+λ∗UDS) in vielen Fällen wegläßt.
7.2 Arbeitspunkteinstellung
Als Arbeitspunkt eines Verstärkers bezeichnet man die Gleichspannungen und –ströme, die auftreten,
wenn kein Eingangssignal am Verstärker anliegt. Obwohl der Arbeitspunkt sehr wichtig ist, kann man
ohne konkrete Anwendung doch nur recht vage Aussagen über ihn machen.
-
Die Schaltung sollte ihren Zweck erfüllen
Das ist eigentlich banal, bedeutet aber Folgendes: Bei einer Aussteuerung mit einem Eingangssignal
werden sich die Spannungen und Ströme in einer Schaltung ändern. Dabei darf kein Spannung und
kein Strom an eine physikalische Grenze stoßen: Ein Kollektorstrom kann niemals kleiner als Null
werden, die Kollektor-Emitter-Spannung ebenfalls nicht. Die gilt für einen npn-Transistor oder n-Kanal
Feldeffekttransistor im A-Betrieb.
-
Die Schaltung sollte gegen Schwankungen von Temperatur, Betriebsspannung, Halbleiterparametern und Alterung stabil sein.
Wir entwerfen Schaltungen mit bestimmten Eingangsvoraussetzungen. Ein Beispiel: Die Temperatur
ist 20 Grad Celsius, die Betriebsspannung beträgt 15 Volt, die Stromverstärkung des Transistors ist
gleich 150. In Wirklichkeit variieren Temperatur und Netzspannung, und Halbleiterdaten unterliegen
großen Schwankungen. Unter allen diesen Vorraussetzungen muß die Schaltung funktionieren. Dies
bedeutet konkret beim Arbeitspunkt, daß man nach Möglichkeit großzügig bemißt.
-
Die maximal zulässigen Verlustleistungen der Halbleiterbauelemente dürfen nicht überschritten
werden.
Dies geschieht schneller als man denkt. wenn man die bei Betrieb auftretende Temperaturerhöhung in
einem Gerät nicht beachtet.
-
Das Rauschverhalten von Bipolartransistoren ist abhängig von Kollektorstrom und Generatorwiderstand.
Gerade bei Vorstufen ist es daher ratsam, einen Blick ins Datenblatt des Transistors zu werfen. Bei
Endstufen interessiert dies weniger.
156
7.2.1 Der Ruhestrom machts: A-, AB- und B-Betrieb von Transistoren und
Feldeffekttransistoren
Je nach dem eingestellten Ruhestrom unterscheidet man zwischen A- und B-Betrieb. Ein Kompromiss
zwischen beiden Betriebsarten ist der AB-Betrieb (Bild 7.5).
A-Betrieb
IC
A-Betrieb
B-Betrieb
AB-Betrieb
C-Betrieb
B-Betrieb
UBE
Bild 7.5: Die verschiedenen Betriebsarten
Schematisch ist die Eingangskennlinie eines npn-Bipolartransistors dargestellt sowie zwei einstufige
Transistorverstärker. Sämtliche Ausführungen gelten natürlich auch für Feldeffekttransistoren.
Legiglich der Nullpunkt der Kennlinie muß dann verschoben werden: Nach links für Verarmungstypen
und nach rechts für Anreicherungstypen.
Im A-Betrieb ist der Kollektorruhestrom des Transistors so groß, daß beide Halbwellen der Eingangsspannung einwandfrei wiedergegeben werden. Man erkennt am Ausgang wieder das Sinussignal,
allerdings invertiert. Der Ruhestrom ist so groß, daß bei einer Aussteuerung keine der Halbwellen
begrenzt wird. Der A-Betrieb ist die normale Betriebsart für Vorstufen. Die Ruheverlustleistung (ohne
Signal) ist groß. Der Wirkungsgrad ist daher so schlecht, daß man bei Leistungsendstufen vielfach
darauf verzichtet.
Im B-Betrieb ist der Kollektorruhestrom des Transistors gleich Null. Da der Kollektorstrom nicht kleiner
als Null werden kann, wird die negative Halbwelle der Eingangsspannung abgeschnitten. Das Signal ist
stark verzerrt. Die Ruheverlustleistung des Transistors ist gleich Null. Diese Betriebsart hat daher
hohen Wirkungsgrad und wird in Leistungsendstufen verwandt. Dort schaltet man einen npn- und
einen pnp-Transistor in Reihe. Der npn-Transistor verarbeitet dann die positive Halbwelle der
Eingangsspannung und der pnp-Transistor die negative Halbwelle.
Der B-Betrieb hat allerdings einen Nachteil: Da ein wesentlicher Basis- und damit Kollektorstrom nur
dann fließen kann, wenn die Basis-Emitter-Spannung größer als etwa 0.6 Volt ist, werden Anteile der
Eingangsspannung unter 0.6 Volt abgeschnitten. Es kommt zu den sogenannten „Übernahmeverzerrungen“ (siehe Leistungsverstärker-Kapitel). Als Kompromiss wählt man daher bei Leistungsverstärkern den AB-Betrieb.
Im AB-Betrieb fließt ein geringer Ruhestrom. Man wählt ihn derart, daß die Übernahmeverzerrungen
gering sind. Die Ruheverlustleistung ist gering.
Im Bild 7.5 ist noch der C-Betrieb eingezeichnet. Die Basis-Emitter-Spannung ist deutlich kleiner als
Null. Daher muß das Eingangssignal schon recht
groß sein, um ein Ausgangssignal zu erzeugen.
157
Anwendungsgebiete sind beispielsweise Senderendstufen und das Amplitudensieb in Fernsehgeräten.
Bei Senderendstufen werden die Verzerrungen durch ein angeschlossenes Filter wieder ausgefiltert.
Beim Amplitudensieb unterdrückt man bewußt die Bildinformation des Videosignales und läßt nur die
Austastimpulse durch.
7.2.2 Arbeitspunkteinstellung bipolarer Transistoren
Gegeben ist die Grundschaltung nach Bild 7.6. In der Schaltung erkennt man einen Transistor mit
Kollektor- und Emitterwiderstand sowie einen Basisspannungsteiler (links) bzw. einen Widerstand R1
(rechts).
+UB
RC
R1
IQ + IB
+UB
IC = B * IB
IB
IQ
RC
R1
IB
IC = B * IB
IB
IE = (B+1) * IB
R2
IE = (B+1) * IB
RE
RE
Bild 7.6: Grundschaltung zur Arbeitspunkteinstellung
Es ist bei diesem Bild noch nicht festgelegt, ob der Transistor wechselspannungsmäßig in EmitterBasis- oder Kollektorschaltung arbeiten soll. Diese Grundschaltungen erreicht man durch Weglassen
oder Kurzschließen bestimmter Widerstände. Eventuell werden auch nur einige Punkte wechselspannungsmäßig geerdet. Die Schaltung läßt sich außerdem noch durch Weglassen des Widerstandes RE
variieren
Wir erkennen einen „Ausgangskreis“ bestehend aus RC, der Kollektor-Emitter-Strecke des Transistors
und RE sowie einen „Eingangskreis“ aus R1 und R2, der Basis-Emitter-Strecke und dem Emitterwiderstand RE. Den Kollektorstrom, die Kollektor-Emitter-Spannung sowie die Spannungsabfälle an
den Widerständen RC und RE machen den ausgangsseitigen Teil des Arbeitspunktes aus. Diese
Größen wollen wir einstellen. Der Basisstrom macht (zusammen mit der Basis-Emitter-Spannung) den
eingangsseitigen Teil des Arbeitspunktes aus: Ihn müssen wir einstellen, damit sich ein bestimmter
Kollektorstrom einstellt.
Zu diesem Zweck zeichnen wir das Ersatzschaltbild des Eingangskreises (Bild 7.7). Es handelt sich
dabei allerdings nicht um das Kleinsignalersatzschaltbild.
RP
RP =
R1 * R 2
R1 + R 2
R2
UL = UB *
R1 + R 2
IB
R1
=
UL
=
0.7 V
=
UB
0.7 V
=
(B+1)*RE
Bild 7.7: Ersatzschaltbild des Eingangskreises (NICHT Kleinsignalersatzschaltbild)
158
IB
(B+1)*RE
Den Spannungsteiler bestehend aus der Betriebsspannungsquelle UB und den Widerständen R1 und
R2 ersetzen wir durch eine Ersatzspannungsquelle UL und RP gemäß
UL = UB *
R2
R1 + R 2
sowie
RP =
R1 * R 2
R1 + R 2
Für den Fall. daß der Widerstand R2 entfällt, gilt die Variante mit UB und R1 rechts im Bild 7.7. Der
Transistor selbst wird durch eine ideale Spannungsquelle mit einer Quellenspannung von 0.7 Volt
ersetzt. Dies ist nicht ganz korrekt, denn die Basis-Emitterstrecke weist einen Widerstand von UBE/IB
auf und der Spannungsabfall ist auch nicht exakt gleich 0.7 Volt. Der Ansatz ist aber für unsere
Zwecke hinreichend genau. Nun zum Emitterwiderstand. Hier finden wir eine Besonderheit, die durch
die Stromverstärkung B des Transistors hervorgerufen wird. Im Ersatzschaltbild erscheint nur der
Basisstrom IB und nicht der Kollektorstrom IC. Fließt ein Basisstrom, so fließt auch ein Kollektorstrom
und am Widerstand RE fällt die Spannung
URE = R E * (IB + IC ) = R E * (IB + B * IB ) = R E * IB (1 + B )
ab. Wenn wir nur IB im Ersatzschaltbild zulassen, muß dann der Widerstand entsprechend größer
sein:
R Eresultierend = (B + 1) * R E
In diesem Ersatzschaltbild erscheinen damit alle Größen, die wir benötigen, um den Basisstrom als
Funktion aller anderen Parameter zu berechnen.
Für den Basisstrom finden wir dann folgende Beziehung:
IB =
UL − 0.7 V
R P + (B + 1) * R E
und für den Kollektorstrom
IC = B * IB = (UL − 0.7 V ) *
B
R P + (B + 1) * R E
Dies bedeutet, für eine große Stromverstärkung ist der Kollektorstrom bei gegebenem RE unabhängig
von B.
Der Emitterwiderstand RE stabilisiert den Arbeitspunkt gegen Bauteiletoleranzen. Er dient als Gegenkopplungwiderstand. Er verringert allerding auch die Wechselspannungsverstärkung und wird deshalb
vielfach wechselspannungsmäßig mit einem Kondensator kurzgeschlossen.
Man bemißt ihn so, daß etwa 10 bis 15 Prozent der Betriebsspannung UB an ihm abfallen. Dies ist
eine grobe Faustregel, Abweichungen sind daher durchaus möglich.
Nun schauen wir uns noch einmal das Bild 7.6 an. Der Basisgleichstrom IB fließt aus dem Widerstand
R1 in die Basis des Transistors hinein. Dabei kann man bei Bipolartransistoren den Widerstand R2
weglassen. Im Bild 7.7 finden wir das Ersatzschaltbild, links mit dem Widerstand R2, rechts ohne R2.
Sieht man R2 vor, so wird der Widerstand R1 nicht nur vom Basisstrom durchflossen, sondern auch
vom Querstrom IQ. Dieser Querstrom fließt über den Widerstand R2 nach Masse ab. Ohne R2 wird
R1 nur von IB durchflossen. Ohne Herleitung:
Der Arbeitspunkt ist bei der linken Variante
159
unempfindlicher gegen Schwankungen der Betriebsspannung, die rechte Variante schützt besser
gegen Temperaturschwankungen. Der Querstrom wird so gewählt, daß er etwa zehnmal so groß ist
wie der Basisstrom. Auch dies ist eine grobe Faustregel. Forscht man ein wenig in der Literatur, so
schwanken die Zahlenangaben zwischen drei und zehn.
Wichtiger ist die Frage, welche Konsequenzen es für den Eingangswiderstand hat, wenn man R2 vorsieht oder nicht. Nehmen wir einmal an, wir wollten einen bestimmten Kollektorstrom IC einstellen. Wir
haben einen Spannungsabfall an RE und eine Basis-Emitter-Spannung. Dann gilt für R1 je nach
Variante:
Links:
R1 =
UB − UBE − URE
IQ + IB
und rechts:
R1 =
UB − UBE − URE
IB
Die Zähler bleiben jeweils gleich, der Nenner des zweiten Bruches ist um den Faktor 11 kleiner (wenn
IQ gleich 10*IB ist). Dementsprechend ist auch bei der rechten Variante der Widerstand R1 elfmal
größer.
Legen wir nun über einen Koppelkondensator eine Signalquelle zwischen Basis und Masse, so teilt
sich der Strom der Signalquelle am Koppelpunkt auf. Die Betriebsspannungsquelle ist eine ideale
Gleichspannungsquelle und daher für Wechselstrom durchlässig. Wer mit dieser Vorstellung
Schwierigkeiten hat, möge sich daran erinnern, daß zwischen Betriebsspannung und Masse im allgemeinen ein großer Siebkondensator geschaltet ist, der alle Wechselspannung kurzschließt.
Bei der linken Variante finden wir drei Wechselströme:
- den Basiswechselstrom iB,
- einen Strom durch R1 über die Betriebsspannungsquelle nach Masse sowie
- einen Strom durch R2 über die Betriebsspannungsquelle nach Masse.
Dabei wirkt nur der Basisstrom steuernd. Die beiden anderen Ströme erzeugen nur einen Spannungsabfall am Innenwiderstand der Signalquelle: Die Steuerspannung am Eingang wird kleiner.
Bei der rechten Variante finden wir nur zwei Wechselströme:
- den Basiswechselstrom iB sowie
- einen Strom durch R1 über die Betriebsspannungsquelle nach Masse.
Der Wechselstrom durch R1 ist dabei wesentlich kleiner, da R1 hochohmiger ist als bei der linken
Variante.
Der Wechselstromeingangswiderstand der linken Variante ist wesentlich geringer als der der rechten
Variante. Dies ist dann wichtig, wenn der Innenwiderstand der Signalquelle groß ist, denn dann würde
bei der linken Variante unzulässig viel Signalspannung am Innenwiderstand der Quelle abfallen.
Nun lenken wir unsere Aufmerksamkeit auf den Ausgangskreis. Dabei kann man folgende Masche
aufstellen:
160
UB = ICA * R C + UCEA + IEA * RE
wobei der Index "A" den Arbeitspunkt kennzeichnet. Dabei sei UCEA und/oder ICA vorgeben.
Für Kollektor- und Basisstrom gilt
B
≈ IEA
B +1
I
IB = CA
B
ICA = IEA *
Der Spannungsabfall am Kollektorwiderstand ist gleich
URC = ICA * R C
und am Emitterwiderstand
URE = IEA * R E ≈ ICA * R E
Die beiden Gleichungen zur Bestimmung der Widerstände R1 und R2 lauten (s. o.)
R1 =
UB − UBE − URE
IQ + IB
beziehungsweise
R1 =
UB − UBE − URE
IB
und
R2 =
UBE + URE
IQ
Damit haben wir genügend Gleichungen, um den Arbeitspunkt auszurechnen.
Ein Beispiel: Gegeben sei ein Vorverstärker mit einem Transistor, dessen Stromverstärkung gleich 100
ist. Der Transistor weise (für den gegebenen Generatorwiderstand) ein Rauschminimum bei einem
Kollektorstrom von 2mA auf. Die Basis-Emitter-Spannung sei etwa 0.7 Volt, die Betriebsspannung ist
gleich 15 Volt. Man bestimme RE, RC sowie die Widerstände R1 und R2 sowie alternativ den
Widerstand R1 für den Fall, daß R2 entfällt.
Zuerst bestimmen wir den Spannungsabfall an RE. Der soll zwischen 10 und 15 Prozent der Betriebsspannung betragen. Das ist ein Bereich von 1.5 bis 2.25 Volt. Wir wählen eine Spannung von 2 Volt
und erhalten
RE =
URE
IC
=
2V
= 1kΩ
2mA
Da haben wir sogar einen Normwert für den Widerstand RE erwischt. Bei einer Betriebsspannung von
15 Volt verbleiben damit für die Spannungen UCEA und URC noch 13 Volt. Bei einer Vorstufe ist nicht
damit zu rechnen, daß die Amplitude der Ausgangswechselspannung sehr groß ist. Trotzdem wählen
wir die Kollektor-Emitter-Spannung UCEA so, daß sie gleich der halben verbleibenden Spannung ist.
161
Dies gibt uns die Sicherheit, daß selbst bei relativ großen Schwankungen der Bauelementewerte die
Wechselspannung nicht abgeschnitten wird.
UCEA = 6.5 V
Damit finden wir für den Kollektorwiderstand
RC =
URC
IC
6.5 V
= 3.25kΩ
2mA
=
Am Emitterwiderstand fielen 2 Volt ab, die Basis-Emitter-Spannung ist gleich 0.7 Volt. Bei einer
Stromverstärkung von 100 ist der Basisgleichstrom gleich 20 µA. Somit finden wir für den Widerstand
R1
UB − UBE − URE
R1 =
IB + IQ
=
15 V − 0.7 V − 2 V
= 55.9kΩ
20µA + 200µA
=
15 V − 0.7 V − 2 V
= 615kΩ
20µA
beziehungsweise (ohne R2)
R1 =
UB − UBE − URE
IB
Für R2 finden wir schließlich
R2 =
UBE + URE
IQ
=
0.7 V + 2 V
= 13.5kΩ
200µA
Damit wären alle erforderlichen Widerstände bestimmt. Oder? Leider nicht. Wir haben nicht bedacht,
daß ohmsche Widerstände nur in Normwerten geliefert werden. 1kΩ ist ein Normwert, die restlichen
Widerstände leider nicht. Der Widerstand R1 mit 55.9kΩ liegt sehr nahe an dem Normwert von 56kΩ,
so daß wir auch diesen nicht neu zu berechnen brauchen. Auch 615kΩ liegen so nahe an dem Normwert 620kΩ, daß wir keine Neuberechnung vornehmen. Bei R2 liegen die Verhältnisse anders: Da liegt
der Wert von 13.5kΩ genau in der Mitte zwischen 12kΩ und 15kΩ. Für einen dieser Werte müssen wir
uns entscheiden. Da kommt uns nun das Ersatzschaltbild von Bild 7.7 zu Hilfe. Wir berechnen den
Widerstand RP:
RP =
R1 * R 2
56kΩ * 12kΩ
=
= 9.882kΩ
R1 + R 2 56kΩ + 12kΩ
RP =
R1 * R 2
56kΩ * 15kΩ
=
= 11.831kΩ
R1 + R 2 56kΩ + 15kΩ
beziehungsweise
Nun müssen wir noch die Leerlaufspannung UL berechnen:
UL = UB *
R2
12kΩ
= 15 V *
= 2.647 V
R1 + R 2
56kΩ + 12kΩ
162
beziehungsweise
UL = UB *
R2
15kΩ
= 15 V *
= 3.169V
R1 + R 2
56kΩ + 15kΩ
Man beachte, daß in diesen Formeln statt 55.9kΩ der Wert von 56kΩ steht, denn dieser Wert wurde ja
gewählt.
Der resultierende Emitterwiderstand ist gleich
R Eresultierend = (B + 1) * 1kΩ = 101kΩ
und wir erhalten für den Basisstrom
IB =
UL − UBE
2.647V − 0.7 V
=
= 17.6µA
R P + (B + 1) * R E 9.882kΩ + 101kΩ
IB =
UL − UBE
3.169V − 0.7 V
=
= 21.9µA
R P + (B + 1) * R E 11.831kΩ + 101kΩ
beziehungsweise
Man beachte auch den großen Wert von REresultierend, gegen den die Unterschiede in den Widerständen RP fast verschwinden.
Die Kollektorströme sind dann
ICA = B * IB = 1.76mA
bzw.
2.19mA
Wäre der Wert von 3.25kΩ ein Normwert, hätten wir Spannungsabfälle von
URC = 3.25kΩ * 1.76mA = 5.72V
bzw.
URC = 3.25kΩ * 2.19mA = 7.11V
Der gewünschte Wert war URC=6.5V. Die Abweichungen halten sich im Rahmen. Leider ist auch der
Wert 3.25kΩ kein Normwert. Der nächstmögliche Normwert ist 3.3kΩ. Nehmen wir nun den etwas
größeren Widerstand und kombinieren ihn mit dem kleineren Strom, so erhalten wir
URC = 3.3kΩ * 1.76mA = 5.81V
und für die Kollektor-Emitter-Spannung
UCEA = 13 V − 5.81V = 7.19 V
Damit haben wir einen gangbaren Kompromiss gefunden. Etwas schwieriger wird es, wenn der Widerstand R1 kein Normwert ist. Die Spannung am Widerstand R1 bleibt erhalten, der Widerstandswert
ändert sich. Es gilt
IB + IQNeu =
163
UR1
R1Norm
Da R1Norm einen anderen Zahlenwert hat als R1, ändert sich auch der Wert von IB+IQ. Der Basisstrom IB sollte dabei unbedingt erhalten bleiben, der Strom IQ darf sich ändern, da die Forderung
IQ=10*IB ohnehin nur auf einer Faustregel beruht. Wir müssen nun einen neuen Querstrom
ausrechnen:
IQneu =
UR1
R1Norm
− IB
und den Widerstand R2 wie folgt bestimmen:
R2 =
UBE + URE
IQneu
7.2.3 Arbeitspunkteinstellung von Feldeffekttransistoren
Wir erinnern uns: Der Feldeffekttransistor ist ein spannungsgesteuertes Bauelement. Bei der
Arbeitspunkteinstellung wird daher die Gate-Source-Spannung UGS derart eingestellt, daß der
gewünschte Drainstrom ID fließt. Die Halbleiter arbeiten im Abschnürbereich. Bei Verarmungstypen
kann UGS entgegengesetztes Vorzeichen zu UDS haben (ein Muß bei JFETs), bei Anreicherungstypen haben beide Spannungen das gleiche Vorzeichen. Bild 7.8a zeigt die Grundschaltung für FETs
am Beispiel eines N-Kanal-JFETs mit den Bauelementen zur Arbeitspunkteinstellung sowie eine
Ersatzschaltung (Bild 7.8b).
+UB
R1
RD
ID
R2
R3
RS
UL
a)
Bild 7.8: Arbeitspunkteinstellung eines Feldeffekttransistors
R3
ID
RP
RS
=
b)
Bild 7.8a zeigt den Eingangskreis eines Feldeffekttransistors. Zur Arbeitspunkteinstellung werden die
Widerstände R1, R2 und RS benötigt. Da (fast) kein Eingangsstrom fließt, wird der Eingangswiderstand wechselstrommäßig von der Eingangskapazität des FETs (hier nicht eingezeichnet) und der
Parallelschaltung von R1 und R2 bestimmt. Um den Eingangswiderstand möglichst groß zu halten,
kann man den Widerstand R3 zwischen die Anzapfung des Spannungsteilers und das Gate des FETs
schalten. Da nur ein sehr kleiner Gategleichstrom fließt, darf dieser Widerstand sehr groß sein.
Diesem Widerstand R3 finden wir bei Bipolartransistoren nicht, da deren Eingangswiderstand recht
klein ist. Der resultierende Eingangswiderstand der Schaltung ist dann nicht RP = R1IIR2, sondern
RP+R3. Man muß lediglich überprüfen, ob bei hohen Temperaturen, wenn der Gatereststrom am
höchsten ist, der Spannungsabfall an R3 nicht den Arbeitspunkt auf unzulässige Weise verschiebt.
Beim Bipolartransistor konnte man den Widerstand R2 weglassen. Bei Verarmungstypen kann hier der
Widerstand R1 entfallen. Das Gate liegt dann auf dem Potential von 0 Volt.
164
Der Spannungsteiler aus R1 und R2 erzeugt die Spannung UL aus UB mit
UL = UB *
R2
R1 + R 2
Der Innenwiderstand RP der Ersatzspannungsquelle UL ist
RP =
R1 * R 2
R1 + R 2
Durch den Widerstand RS fließt der Strom ID. Die Widerstände RP und R3 führen keinen Gleichstrom,
deshalb tritt auch kein Gleichspannungsabfall an ihnen auf. Ein Maschenumlauf am Eingangskreis
ergibt daher:
UL − ID * R S − UGS = 0
Dies ist eine Geradengleichung. Man findet zwei markante Punkte bei ID = 0 und bei UGS = 0:
ID = 0 :
UGS = 0 :
UGS = UL
UL = ID * R S → ID =
UL
RS
Bild 7.9 zeigt die Eingangskennlinie des FETs sowie die Widerstandsgerade mit der Steigung 1/RS.
ID
IDAMAX
~1/RS
IDA
P2
IDAMIN
P1
UP
UGSA
ID = UL / RS
UL
UGS
Bild 7.9: Eingangskennlinie und Widerstandsgerade.
Die Widerstandsgerade schneidet die UGS-Achse bei UL (ID=0) und die ID-Achse bei UL/RS
(UGS=0). Ihre Steigung ist 1/RS. Im Diagramm ist nicht nur eine Eingangskennlinie eingetragen, sondern drei. Die mittlere, stark ausgezogene Kennlinie stellt den Normalfall aus dem Datenblatt dar, die
beiden anderen die Kennlinien, welche die Exemplarstreuungen des jeweiligen Halbleiters charakterisieren. Diese Kennlinien sind die äußersten
Grenzen für den FET: UPmax mit IDSSmax und
165
UPmin mit IDSSmin. Leider lassen sich Feldeffekttransistoren nur mit
Exemplarstreuungen fertigen, so daß wir auch diese Kennlinien betrachten müssen.
relativ
großen
Die Größenordnung der Abweichung für den N-Kanal-MOSFET 3N154 (Verarmungstyp) von RCA gibt
die folgende Tabelle wieder. Es wird im Datenblatt dabei ausdrücklich auf die "eng tolerierte Pinch-OffSpannung" hingewiesen:
Größe
UP
IDSS
min.
-2
10
typ.
-3.5
15
max
-8
25
Tabelle 7.1: Variation der Werte von IDSS und UP für den MOSFET 3N154
Der Schnittpunkt der Widerstandsgeraden mit der Normalkennlinie ergibt den Drainstrom IDA und die
Spannung UGSA im Arbeitspunkt. Der sich wirklich einstellende Drainstrom kann jedoch - je nach
Transistorexemplar - zwischen IDAmax und IDAmin schwanken. Dementsprechend schwanken auch
die Gate-Source-Spannungen. Bezeichnet man IDAmax - IDAmin mit ∆ID, so sieht man, daß ∆ID bei
gegebenem Arbeitspunkt IDA und UGSA um so größer ist, je steiler die Widerstandsgerade 1/RS ist.
Verringert man UV und behält den Arbeitspunkt bei, so wird die Widerstandsgerade immer steiler und
∆ID immer größer. Eine steile Widerstandsgerade bedeutet einen kleinen Sourcewiderstand RS, also
auch eine kleine Gegenkopplung: Eine Gegenkopplung wirkt Exemplarstreuungen immer
entgegen.
Eine wichtige Schaltungsvariante von Bild 7.8a entsteht dadurch, daß R1 und R3 entfallen: UL ist dann
gleich 0 Volt und das Gate liegt über den Widerstand R2 an Masse. In Bild 7.9 bedeutet dies, daß der
Schnittpunkt der Widerstandsgeraden mit dem Nullpunkt zusammenfällt (Bild 7.10). Hier wird auch
kurz auf die zeichnerische Bestimmung von RS eingegangen.
ID
~1 / RS
+UB
IDA
ID
R2
RS
UP
UGSA
UGS
Bild 7.10: Zeichnerische Bestimmung von RS bei UV=0V
Will man RS für einen bestimmten Drainstrom IDA bestimmen, so geht man vom Punkt IDA auf der
ID-Achse nach links bis zur Eingangskennlinie. Dieser Punkt wird mit dem Nullpunkt verbunden. Die
Steigung der resultierenden Geraden ist proportional 1/RS. Fällt man vom Schnittpunkt das Lot auf die
UGS-Achse, so erhält man die Gate-Source-Spannung UGSA im Arbeitspunkt. Da UL gleich Null ist,
schneidet die Arbeitsgerade immer den Nullpunkt. Eine Variation von ID kann man nur durch Variation
von RS erreichen. Dies bedeutet jedoch, daß ∆ID bei großen Drainströmen groß sein kann, weil RS
klein ist und die Gerade entsprechend steil. Will man ∆ID bei dieser Schaltungsvariante klein halten,
166
also eine flach verlaufende Arbeitsgerade haben, so muß man auf kleine Drainströme ausweichen. Bei
kleinen Drainströmen hingegen ist die Steilheit jedoch relativ klein - ein unerwünschter Effekt, da die
Steilheit ein wichtiger Kleinsignalparameter ist und die Verstärkung der Stufe beeinflußt.
Wir können RS für die Variante in Bild 7.10 auch rechnerisch bestimmen. Es gilt:
IDA
 U

= IDSS * 1 − GSA 
UP 

2
sowie
UGSA + IDA * R S = 0
oder
RS = −
UGSA
IDA
Lösen wir die erste Gleichung nach UGSA auf und setzen das Ergebnis in die zweite Gleichung ein, so
erhalten wir:

I
− UP *  1 − DA
IDSS

RS =
IDA




Doch zurück zu Bild 7.9. Gibt man IDAmax und IDAmin vor, so muß die Widerstandsgerade durch die
Punkte P1 und P2 gehen und wird die UGS-Achse bei einem bestimmten Wert von UL schneiden. Den
Widerstand RS erhalten wir aus den vorgegebenen Werten IDAmax und IDAmin und den dazugehörigen Gate-Source-Spannungen, die wir aus den Gleichungen für die jeweiligen Kennlinien bestimmen
können:
RS =
UGSA max − UGSA min
IDA max − IDA min



I
I
UP max * 1 − DA max  − UP min * 1 − DA min
IDSS max 
IDSS min


RS =
IDA max − IDA min




Wir haben gerade nichts anderes gemacht, als die Koordinaten der beiden Schnittpunkte der Geraden
mit den „Extremkennlinien“ eingesetzt.
Weiterhin gilt:
UL = ID * R S + UGS
mit UGS < 0 und damit

I
UL = IDA max * R S − UP max *  1 − DA max
IDSS max

167




oder alternativ

I
UL = IDA min * R S − UP min *  1 − DA min
IDSS min





Gibt man den Widerstand R2 des Spannungsteilers vor, so gilt für R1:
U

R1 = R 2 *  B − 1
 UL

Man kann auch den Widerstand R1 vorgeben und R2 berechnen. Die Vorgabe von R2 ist allerdings
deshalb sinnvoll, da R2 der kleinere der beiden Widerstände ist. Die Parallelschaltung von R2 und R1
weicht nicht allzu stark von R2 ab, jedoch eventuell sehr stark von R1. Man prüfe außerdem, ob der
Gatereststrom bei der höchsten Betriebstemperatur über der Parallelschaltung R1IIR2 (und falls vorhanden dem Widerstand R3) einen nennenswerten Spannungsabfall erzeugt, so daß sich der
Arbeitspunkt verändert.
Dazu ein Rechenbeispiel:
Gegeben sei der Sperrschichtfeldeffekttransistor BF245A mit UP= -0.4V..-2.2V und IDSS=
2mA...7.5mA. Die Betriebsspannung UB betrage 30 V. Der Drainstrom muß kleiner als IDssmin sein.
Wir wählen ID = IDSSmin/2 = 1 mA. Es sei ein ∆ID von ±0.1 mA zugelassen. Wir erhalten einen Wert
von 5.8 kΩ für RS. Gewählt wird der Normwert 5.6 kΩ. Dann ist UL = 4.86 Volt. Wählt man R2 zu 3.9
MΩ, so wird R1 = 20.17 MΩ. Gewählt wird ein Wert von 22 MΩ. Um sicher im Abschnürbereich zu
liegen, wählen wir UDS zu etwa 10 V. Am Sourcewiderstand fällt eine Spannung von 5.6kΩ*1mA = 5.6
V ab, somit verbleibt für den Spannungsabfall an RD eine Spannung von etwa 15 Volt. Damit wird RD
= 15V/1mA = 15 kΩ.
Will man FETs als Verstärker und Stromquellen einsetzen, so muß nicht nur der Arbeitspunkt im
Abschnürbereich (Bereich II von Bild 7.2) liegen, der Transistor darf diesen Bereich auch bei der Aussteuerung mit einem Signal nicht verlassen.
Diese Berechnungen für RS gelten nur für Verarmungstypen (Depletion). Der folgende Abschnitt gibt
Aufschluss über die Unterschiede zwischen Depletion- und Enhancement-FETs.
ID
ID
N-Kanal-Sperrschicht,
N-Kanal-Depletion
n*UP
N-Kanal-Enhancement
n*UP
UP , UTh
n*UTh
UGSA
UGSA
UP , UTh
UGS
P-Kanal-Sperrschicht,
P-Kanal-Depletion
UGSA
UTh
P-Kanal-Enhancement
Bild 7.10a: Kennlinien von Verarmungs-FETs (links) und Anreicherungs-FETS (rechts)
168
UTh
n*UTh
UGSA
UGS
Das Bild zeigt links die ID=f(UGS)-Kennlinien von Sperrschicht-FETs und Verarmungstypen, rechts die
Kennlinien für Anreicherungstypen. Der Einfachheit halber (Es ist bei der kommenden Rechnung nur
ein konstanter Faktor) ist der Einfluß der Drain-Source-Spannung (1+λ*UDS) weggelassen.
Wir finden für den Sperrschicht-FET
IDA = IDSS
und für den MOSFET allgemein
 U
* 1 − GSA
UP




2
IDA = K * (UGSA − UTh )
2
(Wie bereits erwähnt, ist hier λ zu Null angenommen)
Wir rechnen mit dem Modell für den JFET. Berechnet werden soll die Spannung UGSA, bei der sich
der Strom IDA einstellt. Dazu wird zuerst einmal die Wurzel gezogen:
±
U
IDA
= 1 − GSA1,2
IDSS
UP
Wir finden zwei Lösungen für UGSA, das ist immer so bei der Lösung einer quadratischen Gleichung.
Beide Lösungen sind mathematisch richtig, es ist jedoch nur eine physikalisch sinnvoll. Ein
Weiterrechnen ergibt:
UGSA1,2 = UP ±
IDA
* UP = UP ± n * UP
IDSS
Für die MOSFETs lautet die Lösung:
UGSA1,2 = UTh ±
IDA
= UTh ± n * UTh
K
Man erkennt Folgendes: Die beiden Spannungen UGSA1 und UGSA2 liegen um ±n*UP bzw. ±n*UTh
symmetrisch zu UP bzw. UTh. Dies ist im Bild 7.10a für die N-Kanal-Transistoren skizziert. Im linken
Teil des Bildes muß man n*UP für den Verarmungs-MOSFET durch n*UTh ersetzen. Dies bedeutet,
daß bei einer der Lösungen ein Drainstrom fließt, bei der anderen Lösung jedoch nicht. Bei den
Verarmungstypen (N- und P-Kanal) muß die gültige Lösung zwischen 0 Volt und UP/UTh liegen, bei
den Anreicherungstypen muß die gültige Spannung UGSA weiter von 0 Volt entfernt sein als UTh.
Für RS gilt dann wiederum
RS = −
UGSA
IDA
169
7.3 Widerstandsgerade und Ausgangskennlinienfeld
In diesem Abschnitt werden wir uns mit Operationen im Ausgangskennlinienfeld beschäftigen. Die
Beispiele sind mit den Kennlinienfeldern von Bipolartransistoren ausgeführt, können aber ohne weiteres auf Feldeffekttransistoren übertragen werden.
Aus Bild 7.6 lesen wir für den Ausgangskreis ab:
UB = UCE + IC * R C + IE * R E
Setzt man IE = IC, so erhält man
UCE (IC ) = UB − IC * (R C + RE )
Löst man diese Gleichung nach IC auf, so ergibt sich
IC =
UCE
UB
−
R C + RE RC + RE
In einem Koordinatensystem mit der UCE-Achse in x-Richtung und der IC-Achse in y-Richtung ist dies
die Gleichung einer Geraden mit der Steigung -1/(RC + RE). Ihre Achsenabschnitte sind:
UCE = 0 :
IC = 0 :
IC =
UB
RC + RE
UCE = UB
Nun wird aber auch der Transistor vom Strom IC durchflossen. Der Schnittpunkt der Kennlinie UCE =
f(IC) mit der obigen Geraden, der Arbeitsgeraden, ergibt gerade den Arbeitspunkt. Das bedeutet:
Bei einer Reihenschaltung Transistor, Kollektorwiderstand und Emitterwiderstand liegen alle möglichen
Arbeitspunkte auf der Arbeitsgeraden. Welcher Arbeitspunkt sich in einem speziellen Fall einstellt,
hängt vom Basisstrom ab, denn IC ist gleich B * IB.
Für Gleichspannung und Wechselspannung ergeben sich unterschiedliche Arbeitsgeraden, je nachdem ob der Emitterwiderstand wechselspannungsmäßig geerdet ist oder nicht, ob sich Induktivitäten
oder Kapazitäten im Kollektorkreis befinden oder ob dem Kollektorwiderstand der Eingangswiderstand
einer nachfolgenden Schaltung wechselspannungsmäßig parallelgeschaltet ist. Diese Fälle werden
nun besprochen. Bild 7.11 zeigt das Kennlinienfeld des Transistors BC548C.
Wollen wir beispielsweise einen Arbeitspunkt UCE = 10 Volt bei einem Kollektorstrom von 13.5 mA
einstellen, so müssen wir laut Kennlinie einen Basisstrom von 30 µA einstellen. Wenn die Betriebsspannung 20 Volt beträgt, so liegt bereits ohne Rechnung der zweite Punkt der Arbeitsgeraden fest: IC
= 0 bei UCE = 20 Volt (Transistor völlig gesperrt). Ob dieser Punkt der Arbeitsgeraden im Betrieb
jemals erreicht wird, ist dabei ohne Belang. Die Arbeitsgerade ist bereits im Kennlinienfeld eingezeichnet. Ihr Schnittpunkt mit der IC-Achse liegt bei IC = 27mA. Dadurch erhalten wir für RE+RC den Wert
20V/27mA = 740Ω. Wie sich der Gesamtwiderstand auf RC und RE aufteilt, spielt bei der Gleichstromarbeitsgeraden keine Rolle.
170
Kennlinienfeld des BC548C
30m
IB=60µA
25m
IB=50µA
20m
IB=40µA
(10.00, 13.517m)
IB=30µA
15m
IB=20µA
10m
IB=10µA
5m
0
0V
5V
IC (Q1)
10V
15V
20V
(20V - VCE) / 740
VCE
Bild 7.11: Kennlinienfeld des Transistors BC548C
Ein Kondensator hat eine endlich große Impedanz für Wechselspannungen und sperrt Gleichspannungen völlig. Schalten wir parallel zu einem Widerstand einen Kondensator, so wirkt ab einer
bestimmten Frequenz der Kondensator als Kurzschluß: Seine Impedanz ist so klein, daß der parallelgeschaltete Widerstand praktisch keine Rolle mehr spielt. Dies ist damit gemeint, wenn in diesem
Abschnitt von „wechselspannungsmäßig kurzgeschlossenem Widerstand" die Rede ist.
Nehmen wir nun an, der Kollektorwiderstand betrage 500Ω und der Emitterwiderstand von 240Ω sei
für Wechselspannungen kurzgeschlossen. Dann beträgt die Steigung der Arbeitsgeraden -1/500Ω für
Wechselspannungsbetrieb. Der Arbeitspunkt jedoch bleibt erhalten. Die Wechselstromarbeitsgerade
ist jedoch steiler als die Gleichstromarbeitsgerade, deshalb schneidet sie die UCE-Achse bei einem
Wert UCE<20 Volt. Da ein Teil des Lastwiderstandes kurzgeschlossen ist, ist auch der maximale
Strom größer.
171
IC
ICmax1
ICmax2
AP
ICA
~
~
1
(RC + RE )
1
RC
UCEmax2
UCEmax1
UCEA
UCE
Bild 7.12: Ermittlung der Achsenabschnitte UCEmax1 und UCEmax2
Anhand des Bildes 7.12 wollen wir berechnen, wie groß der Unterschied zwischen UCEmax1 und
UCEmax2 ist, wenn der Arbeitspunkt beibehalten wird. Allgemein gilt für die obige Darstellung:
IC = IC max −
UCE
R
Der Arbeitspunkt liege bei UCEA und ICA. Dann erhalten wir für ICA:
ICA = IC max −
UCEA
R
oder speziell
I
ICmax1 = ICA +
II
UCEA
RA
ICmax 2 = ICA +
UCEA
RB
und
UCEmax1 = R A * ICmax1

U 
UCEmax1 =  ICA + CEA  * R A
R1 

UCEmax2 = R B * ICmax 2

U 
UCEmax 2 =  ICA + CEA  * R B
R2 

oder
UCEmax1 = ICA * R A + UCEA
UCEmax 2 = ICA * R B + UCEA
Der Unterschied ∆UCEmax ist dann:
∆UCEmax = UCEmax2 − UCEmax1 = ICA * (R B − R A )
Nun ist aber RB=RC+RE und RA=RC, so daß wir für den Unterschied ∆UCEmax erhalten:
∆UCEmax = ICA * R E
172
Dies kann man sich auch anschaulich vorstellen: Überbrückt man den Widerstand RE wechselspannungsmäßig mit einem Kondensator und oszillographiert die Spannung an diesem Widerstand
ohne Aussteuerung, so erhält man
URE = ICA * R E
Steuert man nun den Transistor mit einer Wechselspannung aus, so bleibt die Gleichspannung am
Emitterwiderstand erhalten - wie berechnet. Eine Wechselspannung tritt nicht auf. Dies bedeutet aber
auch, daß der Transistor wechselspannungsmäßig nicht bis UCEmax2 ausgesteuert werden kann,
sondern nur bis UCEmax1. Der Gleichspannungsabfall am Emitterwiderstand ist für die Aussteuerung mit Wechselspannung verloren. Wenn wir am Emitter eine Wechselspannung von 0 Volt
messen, so bedeutet das weiterhin, daß der Emitter wechselspannungsmäßig an Masse liegt.
Ist dem Kollektorwiderstand wechselspannungsmäßig noch ein weiterer ohmscher Widerstand RLast
parallelgeschaltet, so erhöht sich die Steigung der Widerstandsgerade erneut auf -1/(RC||RLast).
Nun noch eine Anmerkung zur Beibehaltung des Arbeitspunktes bei Aussteuerung mit einem
Wechselspannungssignal. Dazu stellen wir uns vor, daß wir alle Gleichspannungen und –ströme mit
Meßgeräten messen, die den zeitlichen Mittelwert der Spannungen und Ströme anzeigen. Nun steuern
wir die Schaltung mit einem sinusförmigen Signal an. Der zeitliche Mittelwert eines Sinussignales ist
gleich Null. Unter der Annahme, daß unser Verstärker linear ist, werden alle Wechselspannungen und
–ströme in der Schaltung ebenfalls sinusförmig sein. Unsere Gleichspannungs- und –strommeßgeräte
werden daher von der Aussteuerung unbeeinflußt bleiben. Ein Blick auf die Meßgeräte wird uns nicht
verraten, ob ein Signal anliegt oder nicht. Wir sehen trotz Aussteuerung immer noch den gleichen
Arbeitspunkt auf den Geräten.
Bis jetzt wurde angenommen, daß der Arbeitspunkt beibehalten wird. Leider sind Transistoren und
Feldeffekttransistoren nichtlineare Bauelemente. Steuert man den Transistor mit einer Stromquelle mit
einem Effektivwert von 28µA aus, so erhält man eine Ausgangswechselspannung von mehr als
15VSS. Bedingt durch die Ansteuerung mit einer Stromquelle ist die Ausgangswechselspannung sehr
klirrarm, jedoch nicht völlig unverzerrt. Eine PSpice-Simulation ergab folgende Werte:
173
BC548C: Arbeitspunktverschiebung bei Aussteuerung
****
INITIAL TRANSIENT SOLUTION
TEMPERATURE =
27.000 DEG C
**************************************************************************
NODE
VOLTAGE
( COLL) 9.9952
NODE
VOLTAGE
( PLUS)
20.0000
NODE
VOLTAGE
(BASIS)
.7145
****
FOURIER ANALYSIS
TEMPERATURE =
27.000 DEG C
***************************************************************************
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(COLL)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
6
7
8
1.053617E+01
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
6.000E+03
7.000E+03
8.000E+03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
8.125E+00
5.419E-01
3.783E-02
1.586E-03
1.118E-03
5.981E-04
3.636E-04
2.946E-04
1.000E+00
6.670E-02
4.656E-03
1.952E-04
1.375E-04
7.361E-05
4.475E-05
3.626E-05
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
-3.065E-01
-9.101E+01
1.748E+02
5.054E+01
-1.321E+02
1.364E+02
4.334E+01
-4.118E+01
NORMALIZED
PHASE (DEG)
0.000E+00
-9.070E+01
1.751E+02
5.085E+01
-1.318E+02
1.368E+02
4.365E+01
-4.087E+01
6.685900E+00 PERCENT
Der Effektivwert der Verzerrungen beträgt etwa 6.69 Prozent, der Gleichanteil 10.53617 Volt. Dieser
Gleichanteil ist größer als 9.9952 Volt. Dies kommt daher, daß die Ausgangsspannung nicht im gleichen Maß größer werden kann als UCEA wie sie kleiner wird als UCEA.. Durch die Verzerrungen
kommt ein Gleichanteil zur Kollektorwechselspannung hinzu und dies ist gleichbedeutend mit
einer Arbeitspunktverschiebung. Der Mittelwert der verzerrten Sinusspannung ist ungleich Null, der
Arbeitspunkt verschiebt sich beim Auftreten von Verzerrungen. Bei kleinen Aussteuerungen oder
Stromsteuerung oder Gegenkopplung ist diese Arbeitspunktverschiebung jedoch relativ gering, so daß
man in erster Näherung davon ausgehen kann, daß der Arbeitspunkt erhalten bleibt. Bild 7.13
verdeutlicht den Verstärkungsvorgang graphisch anhand des Kennlinienfeldes eines Feldeffekttransistors.
174
ID
IDSS
IDA
I
AP
UK = UGS - UP
UP
UGS / V
-6 -5 -4 -3 -2 -1
0
2
4
6
ue
8
10
12
UDS / V
ua
Bild 7.13: Graphische Darstellung des Verstärkungsvorgangs
Der Arbeitspunkt ist so eingestellt, daß IDA etwa IDSS/2 ist. Die Arbeitsgerade hat eine Steigung derart, daß IDSS gerade dann fließt, wenn UK = IUPI ist. Dies garantiert maximale Aussteuerfähigkeit bei
Großsignalaussteuerung. Man erkennt sehr deutlich die Unsymmetrie der Ausgangsspannung bei
symmetrischer Ansteuerung des Gates: Der Ausgangsstrom und die Ausgangsspannung weisen deutliche Verzerrungen auf, verursacht durch die nichtlineare Steuerkennlinie. Da die negative Halbwelle
der Ausgangsspannung stärker ausgeprägt ist als die positive Halbwelle, wird man eine Arbeitspunktverschiebung in Richtung eines größeren Drainruhestromes beobachten. Man beachte auch die
Phasenverschiebung von 180° zwischen Eingangsspannu ng und Ausgangsspannung.
175
Kennlinienfeld des BC548C
30m
20m
10m
IV
III
II
I
0
0V
5V
10V
15V
20V
VCE
Bild 7.14: Arbeitsgeraden für Gleichspannungs- und Wechselspannungsaussteuerung
Bild 7.14 zeigt vier Arbeitsgeraden für verschiedene Lastfälle. Die Arbeitsgerade I ist die Gleichspannungsarbeitsgerade. Die Arbeitsgerade II gibt die Verhältnisse wieder, wenn der Emitterwiderstand wechselspannungsmäßig überbrückt ist, jedoch kein Widerstand RLast parallel zu RC geschaltet ist. Im obigen Beispiel beträgt der Spannungsabfall am Emitterwiderstand 240Ω * 13.5 mA = 3.25
Volt. Der Achsenabschnitt von UCE liegt daher bei 16.75 Volt. Die Arbeitsgerade III zeigt den letzterwähnten Fall: Der Emitterwiderstand ist überbrückt und dem Kollektorwiderstand RC ein Lastwiderstand über einen Koppelkondensator RLast parallelgeschaltet. Der Widerstand RLast liegt mit einem
Ende an Masse, die Parallelschaltung gilt nur für Wechselspannung. Die Arbeitspunkte bleiben in
allen Fällen erhalten. Die Arbeitsgerade IV ist die eines Übertragers ohne Kupferverluste und ohne
Streuinduktivität. Gleichspannungsmäßig liegt der Transistor direkt an +UB. Diese Kennlinie gilt also
für UB=10 Volt. Der Lastwiderstand ist sekundärseitig angeschlossen. Wenn der Lastwiderstand über
einen widerstandslosen Übertrager an den Transistor angekoppelt ist, so ist die Arbeitsgerade für den
Gleichstrombetrieb eine Parallele zur IC-Achse. Die Kollektor-Emitter-Spannung UCE ist UB (in
diesem Fall zu 10 Volt angenommen). Der Basisstrom IB stellt den Kollektorstrom ein, und eine
Änderung des Basisstromes hat eine Änderung des Kollektorstromes zur Folge. Wenn der Transistor
wechselspannungsmäßig ausgesteuert wird, so hat seine Arbeitsgerade die Steigung 1/ü²*RLast (z. B.
III). UCE kann dabei die Betriebsspannung UB erheblich übersteigen. Dies liegt an der im Übertrager
gespeicherten Energie, die eine Induktionsspannung erzeugt. Nimmt man eine symmetrische Aussteuerung um den Arbeitspunkt an, so kann die Kollektor-Emitter-Spannung im Fall IV auf der einen
Seite bis auf (fast) Null Volt absinken, andererseits aber auch bis auf die doppelte Betriebsspannung
ansteigen. Deshalb muß in diesem speziellen Fall der Transistor so ausgesucht werden, daß er
Kollektor-Emitter-Spannungen von mindestens der doppelten Betriebsspannung aushält, ohne zerstört
zu werden.
176
BC548C: RL-Glied; Ansteuerung mit sinus- und trapezfoermigem Strom
30m
25m
>
20m
>
>
Trapez
15m
Arbeitsgerade
>
Sinus
10m
5m
>
>
0
0V
5V
IC (Q1)
10V
15V
20V
25V
30V
35V
40V
(20V - V (COLL1)) / 740
V (COLL1)
Bild 7.15: RL-Glied als Kollektorimpedanz, Ansteuerung mit sinus- und trapezförmigem Strom
Bild 7.15 zeigt den Fall, daß eine Induktivität in Reihe mit dem Kollektorwiderstand RC geschaltet ist.
Speist man einen sinusförmigen Strom in die Basis ein, so ist die "Arbeitsgerade" eine Ellipse. Schaltet
man den Transistor mit einem fast rechteckförmigen Strom ein und aus, so liegen die Endpunkte dieses Ein- und Ausschaltvorganges ebenfalls auf der Arbeitsgeraden. Während des Ausschaltens tritt
jedoch aufgrund der in der Spule gespeicherten magnetischen Energie eine hohe Induktionsspannung
auf, die eventuell den Transistor zerstören kann. Beim Einschalten findet man diese Induktionsspannung nicht. Beide Kurven werden im Uhrzeigersinn durchlaufen. Das Bild 7.15 ist eine
Zusammenfassung zweier Darstellungen von PSpice-Simulationen. Zur Vermeidung von
Einschwingvorgängen wurden alle Kapazitäten aus dem Transistormodell entfernt.
In Bild 7.16 wird der Kollektor mit einem mit Masse verbundenen Kondensator belastet. Bei sinusförmiger Aussteuerung findet man wiederum eine Ellipse. Steuert man hingegen den Transistor mit
einem fast rechteckförmigen Strom aus, so findet man eine Überhöhung des Stromes beim Einschalten vor: Der aufgeladenen Kondensator wird über den nun gut leitenden Transistor entladen, was
zu einer Stromspitze führt. Die Kurven werden gegen den Uhrzeigersinn durchlaufen. Eine genauere
Betrachtung der Ellipse findet man im Kapitel 9.1.
177
BC548C: RC-Glied; Aussteuerung mit sinus- und trapezförmigem Strom
>
>
>
>
Arbeitsgerade
>
Sinus
Trapez
5m
0
2V
4V
IC (Q1)
6V
8V
10V
12V
14V
16V
18V
20V
(20V - V (COLL1)) / 740
V (COLL1)
Bild 7.16: RC-Glied als Kollektorimpedanz, Ansteuerung mit sinus- und trapezförmigem Strom
7.4 Rauschen
Baut man hochempfindliche Verstärker auf, so hört man im Lautsprecher ein Rauschen. Dieses
Rauschen entsteht im Verstärker selbst, wobei vor allen Dingen die Vorstufen zum Rauschen beitragen, da ihr Rauschen am höchsten verstärkt wird.
Das Rauschen entsteht durch statistische Stromschwankungen in ohmschen Widerständen und
Halbleitern.
7.4.1 Widerstandsrauschen
Fließt ein Gleichstrom I durch einen Widerstand R, so ist diesem Gleichstrom ein sehr schwacher
Wechselstrom i überlagert. Dieser Wechselanteil entsteht dadurch, daß die Ladungsträger beim
Durchqueren des Kristallgitters zickzackförmige Wege zurücklegen müssen. Je höher die absolute
Temperatur T ist, um so größer sind die Umwege, welche die Ladungsträger zurücklegen müssen, da
das Kristallgitter selbst ins Schwingen gerät. Der überlagerte Wechselstrom weist dabei (im Idealfall)
Spektralanteile von 0 Hertz bis unendlich auf. Durch diesen Wechselstrom wird im Widerstand die
Rauschleistung
Pr = 4kT * ∆f
erzeugt.
178
Diese Rauschleistung ist lediglich von der absoluten Temperatur T und der Bandbreite ∆f abhängig.
Somit sind im Spektrum der Rauschleistung alle spektralen Anteile zu gleichen Teilen enthalten.
Allgemein gilt, daß ein thermisches Rauschen, bei dem die Rauschleistungsdichte
Pr
= 4kT
∆f
konstant ist, als "weißes Rauschen". Dies ist eine Analogie zum weißen Licht, in dem ja ebenfalls alle
Farben zu gleichen Anteilen enthalten sind.
Die Rauschleistung kann man sich durch eine Rauschspannungsquelle Ur erzeugt denken, deren
Effektivwert
Ur = Pr * R = 4kT * ∆f * R
beträgt. Ein Hinweis: Bei allen im Abschnitt 7.4 erwähnten Spannungen und Strömen handelt es sich
um Effektivwerte und nicht um Amplituden.
7.4.2 Rauschquellen bei bipolaren Transistoren
Widerstandsrauschen tritt auch bei Transistoren auf. Bild 7.17 zeigt das vom Analogsimulator Spice
benutzte Rauschersatzschaltbild eine Bipolartransistors. Jedem dynamischen oder ohmschen Widerstand ist eine Rauschstromquelle zugeordnet, die weißes Rauschen liefert. Man findet für das weiße
Rauschen zwei Ursachen: Das thermische Widerstandsrauschen, hier Johnson noise genannt und
einen weißen Spektralanteil, der durch Elektron-Loch-Paare erzeugt wird, welche entstehen und wieder rekombinieren: Schottky-Rauschen oder shot noise. Zusätzlich entsteht durch die statistische
Schwankung der Minoritätsträgerdichte in der Basiszone ein Rauschen, welches vor allen Dingen bei
Frequenzen unterhalb etwa 1kHz eine Rolle spielt. Dieser Rauschanteil ist im Gegensatz zu obigen
Rauschanteilen frequenzabhängig, man nennt es auch 1/f-Rauschen, Funkel-Rauschen oder flicker
noise. Dieses Rauschen wird im Modell durch die Quelle IF dargestellt, welche dem Leitwert gpi, der
die leitende Basis-Emitterstrecke symbolisiert, zugeordnet ist.
S
iRB
CCs
CBx
iRC
Cu
RBB'
B
CPi
iPi
gPi
C
gu
gm * UB'E'
iRE
RE
E
Bild 7.17: Rauschersatzschaltbild von Spice
179
gO
iGO
RC
Das praktische Rechnen mit obigem Ersatzschaltbild ist zu schwierig, wenn man keinen Analogsimulator einsetzt. Eine andere Vorgehensweise zeigt Bild 7.18.
Ur
RG
C
B
Ir
UG
RC
~
E
E
Bild 7.18: Rauschfreier Transistor mit externen Rauschquellen
Man nimmt dabei den rauschenden Transistor als rauschfrei an und modelliert sein Rauschen durch
eine Rauschspannungsquelle Ur und eine Rauschstromquelle Ir. In der Rauschspannungsquelle ist
das Widerstandsrauschen des Basis-Bahnwiderstandes RBB' und des differentiellen Widerstandes
rB'E der Basis-Emitterstrecke zusammengefaßt: Mit rB'E* ist hier der emitterseitige Eingangswiderstand UT/IE des Transistors gemeint:
(
Ur = 4kT * ∆f * RBB' + 0.5rB* 'E
)
Wegen der Korrelation zwischen Strom und Spannung im differentiellen Widerstand rB'E* erscheint
hier noch der Faktor 0.5. Setzt man für rB'E* den Term rB'E*=UT/IE, so erhält man mit k*T=UT*e

U 
Ur = 4UT * e * ∆f *  R BB' + T 
2IE 

Bei großen Emitterstrom IE kann man den zweiten Term des Klammerausdruckes vernachlässigen
und erhält
Ur = 4UT * e * ∆f * R BB'
Damit ist die Rauschspannung unabhängig vom Emitterstrom. Bei kleinem Emitterstrom hingegen
kann man RBB' vernachlässigen und bekommt für die Rauschspannung den Ausdruck
Ur =
2e * ∆f
IE
Im Rauschstromgenerator Ir werden die stromabhängigen Komponenten des Schottky-Rauschens
2e*∆f*IB und des Flicker noise C*IE*∆f/f eingeführt. Dann gilt für den Effektivwert des Rauschstromes
Ir = 2e * ∆f * IB +
C * IE * ∆f
f
Die Konstante C hat je nach Transistor der Wert 3*10-19A bis 6*10-18A.
180
7.4.3 Rauschzahl und Rauschmaß
Auch der Innenwiderstand des Generators aus Bild 7.18 liefert einen Beitrag zum Rauschen am Ausgang des Transistorverstärkers. Um den Einfluß des Generatorrauschens zu modellieren, wird das
Rauschersatzschaltbild nach Bild 7.19a eingeführt.
UrG
UrT
Urg
RG
UG
RG
re
~
UG
Ue
~
a
re
b
Bild 7.19 Rauschersatzschaltbilder für rauschbehafteten Generator und Transistor
Der Effektivwert UrG des vom Innenwiderstand des Generators erzeugten Widerstandsrauschens
beträgt
UrG = 4kT∆fR G
Das gesamte Transistorrauschen faßt man in eine Rauschspannungsquelle mit dem Effektivwert
UrT = Ur2 + R G2 Ir2
zusammen. Der Generator erzeugt die Rauschleistung
2
UrG
PrG =
= 4kT∆f
RG
Die vom Transistor erzeugte Rauschleistung hat den Wert
PrT =
2
UrT
RG
Die gesamte am Eingang des Transistors auftretende Rauschleistung beträgt somit
2
2
Urg2
UrG
+ UrT
Pr = PrG + PrT =
=
RG
RG
Man faßt die beiden Rauschspannungsquellen am Eingangs des Transistors zu einer Rauschspannungsquelle Urg zusammen (Bild 7.19b) mit
2
2
Urg = UrG
+ UrT
181
Die Rauschzahl F ist der Faktor. mit dem man die Rauschleistung PrG des Generators multiplizieren
muß, um auf die gesamte Rauschleistung zu kommen:
Pr = F * PrG
Damit erhält man für F
2
2
PrG + PrT UrG
+ UrT
Pr
F=
=
=
2
PrG
PrG
UrG
F=
Gesamtrauschleistung am Eingang, verursacht durch Generator und Transistor
Rauschleistung, verursacht durch den Generator
Man kann dann die gesamte am Eingang auftretende Leerlaufrauschspannung Urg auch durch F ausdrücken:
Urg = 4kT∆fR GF = 4UT e∆fR GF
Das Rauschmaß FdB ist definiert als
FdB = 10 * lg F
7.4.4 Berechnung der Rauschzahl
Die Rauschzahl F hängt vom Innenwiderstand des Generators und vom Emitterstrom ab. Es gilt
F=
2
2
UrG
+ UrT
Ur2 + Ir2 * R G2
=
1
+
2
2
UrG
UrG
Die Terme Ur, Ir und UrG wurden weiter oben bereits berechnet. Setzt man diese Terme in die obige
Formel ein, so gilt mit IB≈IE/ß und kT=UT*e:
F = 1+
R BB'
U
1  1 C  RG
+ T * + +
* IE
*
R G 2R G IE  ß 2ef  2UT
Nach dieser Formel ist die Rauschzahl sowohl für IE=0 als auch für unendlich großen Emitterstrom
unendlich groß. Es muß daher ein Minimum existieren. Das Gleiche gilt für den Generatorwiderstand.
Leitet man obigen Ausdruck nach IE ab und setzt diese Ableitung zu 0, so erhält man für die minimale
Rauschzahl
Fmin = 1 +
R BB'
1 C
+
+
RG
ß 2ef
182
Bild 7.20a zeigt für einen Kleinsignaltransistor die Abhängigkeit der Rauschzahl von der Frequenz; Bild
7.20b die Abhängigkeit der Rauschzahl vom Emitterstrom für verschiedene Generatorwiderstände.
20
20
IC = 2mA; RG = 2kΩ
RG=1MΩ
15
RG=100kΩ
15
F/dB
RG=10kΩ
F/dB
10
10
5
5
0
-2
10
10
-1
0
10
1
10
10
2
0
RG=500Ω
RG=1kΩ
-3
10
-2
10
f/kHz
-1
10
0
10
IE/mA
Bild 7.20: Rauschzahl F als Funktion der Frequenz (a) und des Emitterstromes (b)
Im Teil a des Bildes erkennt man das Ansteigen der Rauschzahl bei niedrigen Frequenzen aufgrund
des Funkel-Rauschens (flicker noise). Teil b zeigt die Rauschzahl als Funktion des Emitterstromes bei
verschiedenen Generatorwiderständen.
Generell gilt:
- Bei großen Generatorwiderständen sollte man den Emitterstrom gering halten.
- Bei kleinen Generatorwiderständen sollte der Emitterstrom groß sein.
Ohne Herleitung noch folgender - sehr grober- Vergleich zwischen bipolaren Transistoren, JFETs und
MOSFETs:
- Bei tiefen Frequenzen und Generatorwiderständen unter 5kΩ ist der bipolare Transistor
den JFETs und den MOSFETs vorzuziehen.
- Bei hohen Frequenzen >>200MHz ist der bipolare Transistor den FETs ebenfalls überlegen.
- Bei niedrigen Frequenzen ist der JFET dem MOSFET überlegen.
183
1
10
Netzlisten
Kennlinienfeld des BC548C
.PARAM IBV=-30U
VCE COLL 0 10V
IB BASIS 0 {IBV}
Q1 COLL BASIS 0 BC548C
.LIB C:\LUDE\PS\SIM.lIB
.OP
.DC VCE 0V 20V 0.2V
.STEP PARAM IBV -10U -60U -10U
.END
BC548C: RL-Glied; Ansteuerung mit sinus- und trapezfoermigem Strom
VCE PLUS 0 20V
RC1 PLUS ZWISCH1 740
L1 ZWISCH1 COLL1 1M
IBGL1 BASIS1 0 -30U
IBW1 BASIS1 0 PULSE (-30U 30U 10U 1U 1U 100U)
Q1 COLL1 BASIS1 0 BC548C
RC2 PLUS ZWISCH2 740
L2 ZWISCH2 COLL2 1M
IBGL2 BASIS2 0 -30U
IBW2 BASIS2 0 SIN (0 30U 10000)
Q2 COLL2 BASIS2 0 BC548C
.MODEL BC548C NPN(
+
AF= 1.00E+00 BF= 4.66E+02 BR= 2.42E+00 CJC= 0
+
CJE= 0
CJS= 0.00E+00 EG= 1.11E+00 FC= 9.00E-01
+
IKF= 1.80E-01 IKR= 1.00E+00 IRB= 1.00E+01 IS= 1.95E-14
+
ISC= 1.00E-13 ISE= 1.31E-15 ITF= 1.03E+00 KF= 0.00E+00
+
MJC= 3.19E-01 MJE= 3.26E-01 MJS= 3.30E-01 NC= 2.00E+00
+
NE= 1.32E+00 NF= 9.93E-01 NR= 1.20E+00 PTF= 0.00E+00
+
RB= 2.65E+01 RBM= 1.00E+01 RC= 1.73E+00 RE= 1.00E+00
+
TF= 6.52E-10 TR= 0.00E+00 VAF= 9.17E+01 VAR= 2.47E+01
+
VJC= 3.39E-01 VJE= 6.32E-01 VJS= 7.50E-01 VTF= 1.65E+00
+ XCJC= 1.00E+00 XTB= 0.00E+00 XTF= 1.00E+02 XTI= 3.00E+00)
.TRAN 1U 200U 0 0.1U
.END
BC548C: RC-Glied; Aussteuerung mit sinus- und trapezfoermigem Strom
VCE PLUS 0 20V
RC1 PLUS COLL1 740
C1 COLL1 0 25N
184
IBGL1 BASIS1 0 -30U
IBW1 BASIS1 0 PULSE (-30U 30U 10U 1U 1U 100U)
Q1 COLL1 BASIS1 0 BC548C
RC2 PLUS COLL2 740
C2 COLL2 0 25N
IBGL2 BASIS2 0 -30U
IBW2 BASIS2 0 SIN (0 30U 10000)
Q2 COLL2 BASIS2 0 BC548C
.LIB C:\LUDE\PS\SIM.LIB
.TRAN 1U 600U 500U 0.1U; Spaeterer Beginn der Aufzeichnung nur fuer Sinus!
.END
BC548C: Arbeitspunktverschiebung bei Aussteuerung
VCE PLUS 0 20V
RC PLUS COLL 740
IBGL BASIS 0 -30U
IBW BASIS 0 SIN (0 28U 1000)
Q1 COLL BASIS 0 BC548C
.LIB C:\LUDE\PS\SIM.lIB
.TRAN 1M 10M 0 10U
.FOUR 1000 V[COLL]
.END
185
8. Transistoren - Kleinsignalersatzschaltbild und Verstärkergrundschaltungen
8.1 Kleinsignalersatzschaltbilder von Bipolar- und Feldeffekttransistoren
Transistoren sind nichtlineare Bauelemente und entsprechend ist die Berechnung von Schaltungen mit
Transistoren mathematisch aufwendig. In vielen Fällen ist man jedoch sehr daran interessiert, Verstärkerschaltungen zu entwerfen, welche weitestgehend linear arbeiten. Dann kann man Transistoren und
Feldeffekttransistoren durch ein linearisiertes Ersatzschaltbild ersetzen und damit alle Regeln der
Netzwerktheorie für lineare Netzwerke anwenden. Man betrachtet dann die Transistoren als einen
VIerpol mit einem Eingangsklemmenpaar und einem Ausgangsklemmenpaar. Die gekrümmten
Kennlinien der Halbleiter werden im Arbeitspunkt durch deren Tangenten ersetzt.
8.1.1 Kleinsignalersatzschaltbilder des Bipolartransistors
Der Transistor kann als ein Vierpol mit zwei Eingangsklemmen und zwei Ausgangsklemmen betrachtet
werden. Bei kleinen Aussteuerungen sind die Vierpolparameter linear, ansonsten jedoch nichtlinear.
Man hat prinzipiell zwei Möglichkeiten, das Verhalten eines Transistors zu beschreiben: Die erste
Möglichkeit ist ein physikalisches Ersatzschaltbild, dessen Elemente (Widerstände, Kondensatoren, Strom- und Spannungsquellen) das physikalische Verhalten des Transistors widerspiegeln.
Für Großsignalaussteuerung bieten sich dann die Ersatzbilder nach Ebers-Moll oder Gummel-Poon
an. In Bild 8.1 finden wir das π-Ersatzschaltbild nach Giacoletto für kleine Aussteuerungen, gültig für
mittlere Frequenzen, wie es in erweiterter Form auch im Simulationsprogramm PSpice angewandt
wird.
CB'C
RBB'
B
rBE'
B'
C
rB'C
CD
Si * UB'E
rCE
E
E
Bild 8.1: Kleinsignalersatzschaltbild eines Bipolartransistors nach Giacoletto
Die Basis ist in einen äußeren Basisanschluß B und einen inneren Basisanschluß B' aufgetrennt
worden. Zwischen B und B' liegt der spannungsabhängige Basisbahnwiderstand RBB', der etwa 10
Prozent von rBE ausmacht. Der Widerstand rB'E ist der dynamische Innenwiderstand der BasisEmitter-Diode. Si ist die innere Steilheit, etwa gleich der gesamten Steilheit S und rCE ist der dynamische Ausgangswiderstand. Parallel zu rB'E liegt die Diffusionskapazität CD, welche die Ladungsträgeransammlung in der leitenden Basis-Emitter-Diode modelliert. Zwischen innerer Basis und Kollektor liegen der Widerstand rB'C, der die Rückwirkung der Kollektor-Basis-Spannung auf den Basisstrom
darstellt und die Sperrschichtkapazität der gesperrten Basis-Kollektor-Diode.
Jedem der Bauelemente in Bild 8.1 läßt sich ein physikalischer Effekt zuordnen. In diesem Sinne ist
das Ersatzschaltbild sehr gut. Nur ist die Berechnung von Verstärkereigenschaften mit Hilfe dieses
Ersatzschaltbild immer noch recht aufwendig.
Die zweite Möglichkeit, das Klemmenverhalten des Transistors bei kleinen Aussteuerungen zu
modellieren, ist der Einsatz formaler Parameter. Im Niederfrequenzbereich benutzt man bei bipolaren
Transistoren die h-Parameter, im Hochfrequenzbereich bei bipolaren Transistoren und im gesamten
Frequenzbereich bei Feldeffekttransistoren werden die y-Parameter eingesetzt. Die Vierpolgleichungen für die h-Parameter lauten:
186
u1 = h11 * i1 + h12 * u2
i2 = h21 * i1 + h22 * u2
oder in Matrizenschreibweise:
u1  h11 h12  i1 
*
i  = h
h22 u2 
21
 2
Das Ersatzschaltbild zu diesen Gleichungen zeigt Bild 8.2 links oben:
i1
iB
i2
iC
h11
u1
h22
h12 * u2
~
uBE
uCE
ß * iB
iB
iC
rBE
rCE
rBE
h21 * i1
iB
uBE
u2
rCE
uCE
uBE
rCE iC
rBE
-ß * iB * rCE
~
uCE
gm * uBE
Bild 8.2: Ersatzschaltbilder für den Bipolartransistor
Alle h-Parameter sind komplex, es ist lediglich bei tiefen Frequenzen zulässig, sie als reelle Größen
aufzufassen. Die Dimension von h11 ist das Ohm, die von h22 Siemens. Die Parameter h12 und h21
sind dimensionslos. Das Kleinsignalersatzschaltbild ist übrigens für npn- und pnp-Transistoren gleich.
Die Vierpolkoeffizienten ergeben sich aus den Vierpolgleichungen durch Nullsetzen einer
Spannung/eines Stromes:
Kurzschlußeingangswiderstand:
h11 = hie =
u1
i1
Leerlauf-Spannungsrückwirkung:
h12 = hre =
u1
u2
Kurzschlußstromverstärkung:
h21 = hfe =
i2
i1
Leerlaufausgangsleitwert:
h22 = hoe =
i2
u2
187
u2 = 0
i1 =0
u2 = 0
i1 =0
Da man diese Bezeichnungen in Datenbüchern für amerikanische Transistoren wiederfindet, sind die
angelsächsischen Bezeichnungen für die Emitterschaltung (Index „e“) mit angegeben.
Im rechten Teil des Bildes 8.2 finden wir das Ersatzschaltbild, welches am häufigsten benutzt wird. Als
erstes fällt auf, daß der Term h12 entfällt. Dies erleichtert erforderliche Berechnungen erheblich. In der
Emitterschaltung ist h12 sehr klein (s. u.). Der Parameter h11 entspricht dem dynamischen Eingangswiderstand der Basis-Emitter-Strecke, rBE. Das „E“ in den Indizes spiegelt die Tatsache wider, daß
diese Parameter in Emitterschaltung gemessen werden.
h11E = rBE =
∂ UBE
∂ IB
h12E =
∂ UBE
∂ UCE
UCE = const
IB =const .
.=
UT ß * UT 26mV
=
≈
IB
IC
IB
≈ 1* 10 − 4...3 * 10 − 4
Da h12E so klein ist, vernachlässigt man es zumeist.
Wenn die Spannung UCE konstant ist, so ist die Wechselspannung uCE gleich Null (Kurzschluß) und
der ganze Wechselstrom fließt als iC über die Ausgangsklemmen:
h21E =
∂ IC
∂ IB
UCE =const .
=ß
Der Parameter h22 ist der Ausgangsleitwert. Da das Rechnen mit Leitwerten ungewohnt ist, werden
wir beim praktischen Rechnen dessen Kehrwert, den dynamischen Innenwiderstand rCE der KollektorEmitter-Strecke benutzen. Das Symbol für einen Leitwert ist das gleiche Symbol wie für einen Widerstand, so daß sich formal am Schaltbild nichts ändert.
Betrachtet man das Ausgangskennlinienfeld eines Bipolartransistors und verlängert die Kennlinien
nach links bis zum Schnittpunkt mit der UCE-Achse, so stellt man fest, daß diese Verlängerungen alle
(fast) den gleichen Schnittpunkt haben: Diese Spannung nennt man Early-Spannung oder UY. Der
entsprechende Spice-Parameter heißt VAF (Bild 8.3).
IC
ICA
UY, VAF
UCEA
Bild 8.3: Skizze zur Berechnung von rCE
Für den Parameter h22E gilt nun
h22E =
∂ IC
∂ UCE
IB = const .
=
188
ICA
VAF + UCEA
=
1
rCE
UCE
Alle Vierpolparameter sind arbeitspunktabhängig. Dies bedeutet, daß man theoretisch eine sehr große
Anzahl von jeweils vier Zahlenwerten angeben müßte, um alle Arbeitspunkte zu erfassen. Statt dessen
wird ein anderer Weg eingeschlagen: In den Datenblättern werden diese Vierpolparameter für einen
bestimmten Arbeitspunkt (z. B. UCEDB = 5 V, ICDB = 2 mA, Index „DB“=Datenblatt) als Zahlenwerte
angegeben. Die exakten Werte für einen anderen Arbeitspunkt kann man dann Korrekturkurven
entnehmen, die ebenfalls in den Datenblättern angegeben sind (Bild 8.4). Man kann sie auch anhand
der oben angegeben Formeln ermitteln, wobei bei h22E bzw. rCE die Größe VAF dem Spice-Modell
entnommen wird (bzw. durch zeichnerisch aus dem Datenblatt ermittelt werden muß).
10
2
2.0
5
h11E
10
hE
1
5
h21E
h11E
1.5
VCE = 5V
hE
h12E
h12E
1.0
h22E
10
0
h21E
0.5
5
h22E
10
-1
10
-1
5
0
10
5
10
0
1
0
10
20
IC
he = f (IC )
he = f (VCE )
VCE = 5 V
IC = 2 mA
30
VCE
Bild 8.4: Korrekturkurven für die h-Parameter der Emitterschaltung in Abhängigkeit von UCE und IC.
Bezugswert im Datenbuch: UCEDB= 5V, ICDB=2 mA
Im Datenblatt sei für h11E (rBE) ein Wert von 1000Ω bei UCEDB = 5 V und ICDB = 2 mA angegeben.
Gesucht ist nun der Wert von h11E bei UCE = 15 V und IC = 5 mA. Man benutzt die linke Kurve, um
bei IC = 5 mA einen Korrekturwert von 0.42 für h11E abzulesen. In der rechten Kurve ermittelt man für
UCE = 15 Volt einen Korrekturwert von 1.2 für h11E. Der korrigierte Wert für h11E bei IC = 5 mA und
UCE = 15 Volt lautet dann:
h11E = 1000Ω * 0.42 * 1.2 = 504Ω
Für Hochfrequenztransistoren und Feldeffekttransistoren werden die Vierpoldaten als y-Parameter angegeben, da man mit den h-Parametern bei hohen Frequenzen meßtechnische Schwierigkeiten hat.
Beispielsweise ist die Bedingung i1 = 0 wegen der störenden Eingangskapazitäten nicht zu erfüllen.
Auf die y-Parameter werden wir hier aber nicht weiter eingehen. Da y-Parameter und h-Parameter den
gleichen physikalischen Sachverhalt beschreiben, kann man sie auch ineinander umrechnen.
189
Ein Schaltungsbeispiel zur Messung der Parameter rBE (h11E) und ß (h21E) zeigt Bild 8.5. Eine
Gleichstromquelle mit unendlich großem Innenwiderstand versieht den Transistor mit dem Basisgleichstrom IB. Aufgrund von IB stellt sich der Kollektorgleichstrom IC ein, am Kollektorwiderstand fällt
eine Gleichspannung ab. Es stellt sich eine Kollektor-Emitter-Gleichspannung ein. Diese Gleichgrößen
machen den Arbeitspunkt des Transistors aus. Die Kapazität der eingezeichneten Kondensatoren sei
so groß, daß ihre Impedanz für die Wechselspannung praktisch einen Kurzschluß darstellt. Nun legt
man zwischen Basis und Masse eine sinusförmige Wechselspannung ue an. Da der Innenwiderstand
der Stromquelle unendlich groß ist, fließt der gesamte Wechselstrom als Basisstrom iB in die Basis
hinein und verursacht einen Kollektorwechselstrom iC. Eigentlich würde sich nun am Kollektor eine
Wechselspannung einstellen, die die Gleichspannung überlagert. Aufgrund des Kondensators fließt
aber aller Wechselstrom über das Amperemeter nach Masse ab.
IB
RC
iC
A~
ue
~
iB
=
UB
A~
uBE
V~
Bild 8.5: Schaltung zur Messung von rBE und ß
Wir können nun zwei Messungen vornehmen:
rBE =
uBE
iB
und
ß=
iC
iB
Da der Kollektorkondensator alle Wechselspannung kurzschließt, ist auch die Forderung „UCE=const.“
erfüllt. UCE=const. bedeutet übrigens auch uCE=0.
Wie groß müssen wir ue wählen, damit wir im gegebenen Arbeitspunkt die exakten Werte für rBE und
ß messen? Darauf gibt es eine ganz einfache Antwort: Ausprobieren. Die Kleinsignalparameter sind
nur für kleine Aussteuerungen definiert, bei denen keine Verzerrungen auftreten. Dies bedeutet in der
Praxis folgendes: Man wählt eine Amplitude ue und mißt iB. Dann berechnet man rBE. Nun halbiert
man die Amplitude, mißt erneut iB und berechnet rBE aufs Neue. Die beiden berechneten Werte
werden miteinander verglichen. Wenn sie stark differieren, so war die Amplitude zumindest bei der
ersten Messung noch zu groß gewesen und es sind deutliche Nichtlinearitäten aufgetreten. Dann muß
man ue erneut halbieren. Dies macht man so lange, bis die berechneten Werte für rBE sich nicht mehr
unterscheiden. Ähnliche Überlegungen gelten natürlich auch für die anderen Kleinsignalparameter.
190
80 mA
191
40
IB
IB
20
UBE
IC
rBE=∆UBE/∆IB
RBE=UBE/IB
IB
60
B=IC/IB
ß=∆IC/∆IB
IC
1
2
3
4
A
5
1000
mV
1100
900
800
700
600
500
UBE
2
4
6
∆UCE
∆IC
25 mA
12,5 mA
PV =16 W
8
10
rCE=∆UCE/∆IC
50 mA
75 mA
100 mA
12
14
UCE
16 V
6,25 mA
2N3055
Bild 8.5a zeigt die Bestimmung einiger Parameter aus den Kennlinienfeldern des Bipolartransistors.
Bild 8.5a: Bestimmung von B, ß, rBE und rCE
8.1.2 Kleinsignalersatzschaltbild des Feldeffekttransistors
Stellvertretend für alle Feldeffekttransistoren wollen wir hier nur das Kleinsignalersatzschaltbild des
JFET besprochen. Das physikalische Verhalten der anderen FETs ist ähnlich. Ähnlich wie bei Bipolartransistoren sind die Kleinsignalersatzschaltbilder von n-Kanal-Transistoren und p-Kanal-Transistoren
identisch.
G
C2
i1
i2 D
1.2 p
a)
u1
C1
(50 - 100 MHz)
2.4 p
rDS
Si * u1
Si = 4mS
15 k
CDS
0.5 p
S
G
b)
u1
u2
S
i1
i2 D
y11S
u2
y22S
y12S * u2
y21S * u1
S
S
Bild 8.6: Kleinsignalersatzschaltbilder für den Sperrschichtfeldeffekttransistor
Genau wie beim bipolaren Transistor kann man für den JFET ein Kleinsignalersatzschaltbild mit physikalischen Komponenten wie Widerständen und Kondensatoren angeben oder ein Kleinsignalersatzschaltbild mit Vierpolparametern. Hier werden beide Varianten besprochen. Das Ersatzschaltbild von
Bild 8.6a ist bis etwa 50 - 100 MHz brauchbar. In Bild 8.6b ist das Vierpolersatzschaltbild mit y-Parametern angegeben. Die in den Bildern angegebenen Zahlenwerte geben die Größenordnung für die
Widerstände und Kapazitäten wieder, die in der Praxis anzutreffen sind. Die Leitfähigkeitsmodulation
des Kanals wird durch die gesteuerte Quelle Si symbolisiert. Der durch die Kanallängenmodulation
verursachte endliche Kanalwiderstand wird durch rDS modelliert, parallel dazu liegt die Ausgangskapazität CDS. Der Übertragungsleitwert wird als innere Steilheit bezeichnet. Si wird bei hohen
Frequenzen komplex.
192
Bild 8.7 zeigt das Kleinsignalersatzschaltbild, welches wir in Zukunft benutzen werden. Es ist auf die
wichtigsten Elemente reduziert. Auch hier sind die Zahlenwerte der Ersatzelemente arbeitspunktabhängig. Die Formeln wurden im letzten Kapitel vorgestellt.
G
iD D
uGS C
GS
uDS
rDS
S * uGS
S
S
Bild 8.7: Vereinfachtes Kleinsignalersatzschaltbild von Feldeffekttransistoren
In dem meisten Fällen werden wir sogar den Kondensator CGS weglassen. Dies ist bei Kleinsignaltransistoren bis etwa 50 kHz statthaft. Bei Leistungstransistoren hingegen kann die Kapazität CGS
einige Nanofarad betragen und muß unter Umständen mit berücksichtigt werden. Der Ausgangsleitwert h22 ist hier durch seinen Kehrwert rDS ersetzt. Dies ist der dynamische Innenwiderstand der
Drain-Source-Strecke. Seine Herleitung kann ähnlich wie in Bild 8.3 erfolgen.
8.1.3 Gemeinsamkeiten beider Ersatzschaltbilder
Nun habe ich im vorigen Kapitel angekündigt, daß die Kleinsignalersatzschaltbilder beider Transistorarten (fast) identisch sind, bin den Beweis aber noch schuldig geblieben. Bild 8.8 zeigt, wie man auf ein
gemeinsames Schaltbild kommt.
B
uBE
iB
iC
rBE
G
C
uCE
rCE
uGS
iD D
uDS
rDS
CGS
S * uGS
ß * iB
E
E
S
i1
S
i2
u1
u2
Bild 8.8: „Gemeinsames“ Kleinsignalersatzschalzbild für Bipolar- und Feldeffekttransistoren
Links oben ist das Ersatzschaltbild für den Transistor, rechts oben das des Feldeffekttransistors. Das
Schaltsymbol für den Kondensator CGS wurde durch ein Widerstandssymbol ersetzt. Dies ist zulässig,
wenn man CGS als allgemeine Impedanz Z ansieht. Unten nun das gemeinsame Schaltbild: Läßt man
alle Bezeichnungen weg, so bleibt eine gemeinsame Topologie übrig. Ob man nun in den Formeln rCE
oder rDS einsetzt, spielt ja letztlich keine Rolle: Die Innenschaltung ist die gleiche.
193
Dieser Abschnitt soll lediglich zeigen, daß die Berechnungsverfahren bei Schaltungen mit Bipolar- und
Feldeffekttransistoren ähnlich sind. In der Praxis werden wir auch weiterhin die Bezeichnungen wie
rCE und rDS benutzen.
8.2 Gewinnung des Kleinsignalersatzschaltbildes von Halbleiterschaltungen
Wie kommen wir nun von einem Schaltbild mit Transistoren zu einem Kleinsignalersatzschaltbild?
Welche Regeln gibt es zu beachten? Dazu betrachten wir Bild 8.9. Es zeigt eine Verstärkerschaltung
mit einem Bipolartransistor und einem Feldeffekttransistor. Ob es sinnvoll ist, diese Schaltung aufzubauen, stehe jetzt außer Frage. Wichtig an dieser Schaltung ist, daß sie viele unterschiedliche Bauelemente enthält, an denen wir das Erzeugen eines Kleinsignalersatzschaltbildes üben können.
IB
ri
RC
RD
R1
L
Q1
RG
um
ue
uG
~
RE
=
J1
RL
R2
UB
ua
RS
Bild 8.9: Beispielschaltung zur Gewinnung des Kleinsignalersatzschaltbildes
Eine Signalquelle uG mit dem Innenwiderstand RG speist einen Bipolartransistor Q1. Aufgrund des
Spannungsabfalles an RG finden wir am Eingang des Verstärkers die Spannung ue. Der Basisstrom
IB wird von einer Stromquelle mit dem dynamischen Innenwiderstand ri geliefert. Kollektor und Emitter
des Transistors sind über Widerstände mit Plus bzw. Masse verbunden. Ein Kondensator koppelt die
Wechselspannung am Kollektor auf des Gate des Feldeffekttransistors J1. (Bei PSpice bedeutet „Q“
einen Bipolartransistor, „J“ einen Sperrschichtfeldeffekttransistor und „M“ einen MOSFET). Es entsteht
als Zwischenprodukt die Wechselspannung um. Die Arbeitspunkteinstellung des JFETs erfolgt über
die Widerstände R1 und R2. Am Drain finden wir eine Reihenschaltung einer Spule L mit dem Drainwiderstand RD, parallel zum Sourcewiderstand liegt ein Kondensator. Das Signal wird über einen
Kondensator an einen Lastwiderstand RL gegeben. An ihm liege die Wechselspannung ua an.
Das Kleinsignalersatzschaltbild ist ein Wechselstromersatzschaltbild. Gleichspannungen und -ströme
spielen überhaupt keine Rolle mehr. Wir legen als Gedankenexperiment an jeden Schaltungsknoten
ein Wechselspannungsvoltmeter gegen Masse und in die Zuleitung eines jeden Bauelementes ein
Wechselstromamperemeter.
Nun gelten folgende Regeln:
-
Alle Kondensatoren sollen im betrachteten Frequenzbereich eine so geringe Impedanz aufweisen, daß wir sie durch einen Kurzschluß ersetzen können – falls nicht anders angegeben.
-
Alle Spulen sollen im betrachteten Frequenzbereich eine so große Impedanz aufweisen, daß
wir sie als offene Verbindung betrachten können – falls nicht anders angegeben.
-
Ideale Gleichspannungsquellen haben einen Wechselstromwiderstand von Null Ohm
-
Ideale Gleichstromquellen haben einen unendlich großen Wechselstromwiderstand.
194
Jeden Schaltungsknoten, an dem wir bei Aussteuerung der Schaltung mit der Signalspannung
uG keine Wechselspannung messen können, verbinden wir mit Masse.
Jedes Bauelement, welches nicht von Wechselstrom durchflossen wird, nehmen wir aus der
Schaltung heraus und lassen die Verbindungen offen.
Dies dürfen wir machen, denn bereits in den Grundgebieten haben wir gelernt:
Haben zwei Knoten einer Schaltung das gleiche Potential, so dürfen wir sie miteinander verbinden,
ohne daß sich die Ströme und Spannungen in der Gesamtschaltung ändern.
Fließt durch eine Leitung kein Strom, kann sie aufgetrennt werden, ohne daß sich die Ströme und
Spannungen in der Gesamtschaltung ändern.
Nichts anderes tun wir, wenn wir obige Regeln befolgen. Dies bedeutet aber:
Im einem Kleinsignalersatzschaltbild gibt es keine Gleichspannungs- und Gleichstromquellen.
NIE!!!
(Man verzeihe mir die Dramatik, aber nach unzähligen Wiederholungen dieser Sachverhalte und
anschließender Klausurkorrektur mit ebenso unzähligen Gleichspannungsquellen ist sie angebracht.
Übrigens: Ab Wintersemester 2003/2004 gibt es einen massiven Punktabzug in der Klausur.)
Ausgestattet mit diesen Regeln ermitteln wir dann das folgende Kleinsignalersatzschaltbild:
B iB
iC
C
Q1
rBE
RG
ue
uG
~
ri
rCE
uCE
G
iD D
ß * iB
E
RE
J1
um
RC R1
rDS RL
R2 uGS
S * uGS
S
Bild 8.10: Kleinsignalersatzschaltbild der Schaltung von Bild 8.9
Dabei gehen wir von links nach rechts vor. Der Signalgenerator ist bestimmt Bestandteil des Ersatzschaltbildes. Der Kondensator ist für die Wechselspannung ein Kurzschluß und wird durch eine
Verbindung ersetzt. Nun gelangen wir an die Gleichstromquelle mit ihrem dynamischen Innenwiderstand ri. Die ideale Quelle wird nicht von Wechselstrom durchflossen und daher aus der Schaltung
herausgenommen. Der Innenwiderstand ri liegt mit einem Anschluß an UB. Dieser Anschluß liegt
wechselspannungsmäßig auf Null Volt, deshalb wird im Ersatzschaltbild dieser Anschluß mit Masse
verbunden. Nun kommen wir zum Transistor Q1. Ihn müssen wir durch sein Kleinsignalersatzschaltbild
ersetzen. Der Emitteranschluß liegt über den Widerstand RE an Masse. Der Kollektorwiderstand
wiederum wird mit Masse verbunden. Zwischen Kollektor und Masse finden wir die Spannung um
wieder. Der Kondensator (ein Kurzschluß) gibt die Ausgangsspannung um der ersten Stufe an den
Eingang der zweiten Stufe weiter. Der Widerstand R2 liegt ohnehin mit einem Ende an Masse, der
Widerstand R1 hingegen nur für Wechselspannungen. Der Sourcewiderstand RS ist für Wechselspannungen kurzgeschlossen, deshalb liegt der
JFET J1 mit Source direkt an Masse. Beim Drain
195
ua, uDS
finden wir eine Besonderheit: Unter der Annahme, daß die Impedanz der Spule L so groß ist, daß kein
Wechselstrom fließt, kann die Reihenschaltung RD und L entfallen. Somit ist die einzige Last für den
JFET der Lastwiderstand RL.
Was geschieht aber, wenn ein Bauelement keinen Kurzschluß (Kondensator) bzw. keine offene
Leitung (Spule) darstellt? Ganz einfach: Wir zeichnen es in das Ersatzschaltbild ein und das Rechnen
wird aufwendiger. Man muß ein wenig darauf achten, daß man es mit den Kurzschließen/Herausnehmen nicht übertreibt. Die Parallelschaltung eines Kondensators, einer Spule und
eines Widerstandes beispielsweise ist ein verlustbehafteter Schwingkreis und muß in der Schaltung
verbleiben. Einzige Ausnahme: Im Resonanzfall, denn da dürfen Spule und Kondensator entfallen, da
ihre resultierende Impedanz unendlich groß ist.
8.3 Grundschaltungen von Bipolar- und Feldeffekttransistoren
Bipolar- und Feldeffekttransistoren kann man in drei verschiedenen Grundschaltungen betreiben, die
bei den beiden Transistorarten jeweils ähnliche Eigenschaften aufweisen. „Emitterschaltung“ bedeutet
dann, daß die gemeinsame Elektrode für Eingangs- und Ausgangskreis der Emitter ist. Einfach
gesprochen: Der Emitter liegt wechselspannungsmäßig an Masse.
Bipolartransistor:
Emitterschaltung
Kollektorschaltung
Basisschaltung
Feldeffekttransistor:
Sourceschaltung
Drainschaltung
Gateschaltung
Dabei ist die Emiiterschaltung bzw. Sourceschaltung die am häufigsten angewandte Variante, da sie
sowohl eine hohe Spannungsverstärkung wie auch eine hohe Stromverstärkung aufweist. Kollektorschaltung (Drainschaltung) und Basissschaltung (Gateschaltung) weisen bestimmte Eigenschaften
auf, die sie für bestimmte Anwendungen prädestinieren.
8.3.1 Emitterschaltung und Sourceschaltung
8.3.1.1 Emitterschaltung und Sourceschaltung ohne Gegenkopplung
Bild 8.11 zeigt einen Bipolartransistor in Emitterschaltung und einen Feldeffekttransistor in Sourceschaltung. Beim Bipolartransistor kann der Widerstand R2 entfallen, beim Feldeffekttransistor der
Widerstand R1, sofern es sich um einen Verarmungstypen handelt.
+UB
+UB
RC
RD
2
R1
1
1
RG
ua
ue
uG
~
2
R1
R2
RE
RL
CE
RG
uG
1'
2'
Bild 8.11: Emitterschaltung und Sourceschaltung
R2
~
1'
196
ua
ue
RS
CS
2'
RL
197
Die Kapazität aller Kondensatoren sei so groß, daß wir sie im betrachteten Frequenzbereich als Kurzschlüsse auffassen werden. Die Kondensatoren am Eingang und am Ausgang der Schaltungen sorgen
dafür, daß Signalquelle und Lastwiderstand gleichspannungsmäßig vom eigentlichen Verstärker
getrennt sind und kein Einfluß auf den Arbeitspunkt ausgeübt wird. Der Emitter- bzw. Sourcewiderstand sind über einen Kondensator wechselspannungsmäßig nach Masse kurzgeschlossen. Dies
bedeutet, daß die beiden Widerstände zwar den Arbeitspunkt stabilisieren (Gegenkopplung), jedoch
keinen Einfluß auf die Wechselspannungsverstärkung haben. Deshalb kann man in den Kleinsignalersatzschaltbildern (Bild 8.12) Emitter und Source mit Masse verbinden.
1
B iB
C
R2 rBE
rCE
2
RG
ue R1
uG
RC
ua
RL
~
ß * iB
E
1'
G
1
2'
D
2
RG
ue R1
uG
R2
rDS
uGS
RD
ua
RL
~
S * uGS
S
1'
2'
Bild 8.12: Kleinsignalersatzschaltbilder der Emitterschaltung (oben) und Sourceschaltung (unten)
Der Unterschied in den beiden Kleinsignalersatzschaltbildern besteht in den Steuerungsmechanismen:
Beim Bipolartransistor finden wir eine stromgesteuerte Stromquelle ß*iB, beim FET eine spannungsgesteuerte Stromquelle S*uGS. Ausgangsseitig haben wir die Parallelschaltung dreier Widerstände:
rCE, RC und RL bzw. rDS, RD und RL. Der Widerstand RL ist der Eingangswiderstand der nächsten
Verstärkerstufe oder eine andere Last, beispielsweise ein Kopfhörer.
Wir wollen uns um die Gemeinsamkeiten von Bipolar- und Feldeffekttransistoren kümmern und zeichnen ein noch einfacheres Ersatzschaltbild für die Schaltung mit dem Bipolartransistor. Dazu ersetzen
wir unter anderem die Widerstände am Ausgang durch eine beliebige Impedanz Z (Bild 8.13).
RC
iB
R1
RG
ue rBE
uG
uBE
Z
ua
~
ß * iB
R2
RE
Bild 8.13: Links: Einfaches Ersatzschaltbild, rechts: Prinzipieller Verstärkungsvorgang
198
Die Schaltbilder enthalten nur die notwendigsten Bauelemente. Im rechten Teil des Bildes ist der
Emitterkondensator weggelassen, um auch den Verstärkungsvorgang bei der Kollektorschaltung
demonstrieren zu können.
Für die Ausgangsspannung im linken Teil des Bildes gilt
ua = −ß * iB * Z
Dabei kann Z ein beliebiger Zweipol sein, also auch die Parallelschaltung einiger Widerstände. Das
Minuszeichen rührt daher, daß Strom- und Spannungspfeile entgegengesetzt sind.
Für den Basisstrom ergibt sich aus dem Bild
iB =
uBE ue
=
rBE rBE
Dies wird in den Ausdruck für die Ausgangsspannung eingesetzt und wir erhalten
ua = −ß *
ue
ß
* Z = −ue *
*Z
rBE
rBE
Damit gilt dann für die Spannungsverstärkung der Emitterschaltung ohne Gegenkopplung:
vu =
ua
ß
=−
* Z = − gm * Z
ue
rBE
Dabei ist gm die Steilheit des Bipolartransistors. Der Term gm ist im deutschsprachigen Raum nicht
sehr gebräuchlich, wird jedoch in den angelsächsischen Ländern sehr oft benutzt.
Beim Bipolartransistor haben wir eine stromgesteuerte Stromquelle im Ausgangskreis. Der Strom
wird erzeugt von der Spannung uBE und stellt sich nach dem Ohmschen Gesetz entsprechend
uBE/rBE ein. Nun lassen wir die Stromverstärkung ß immer größer werden, genauso wie rBE. Dies soll
so geschehen, daß ß/rBE konstant bleibt. Im Grenzfall rBE gegen Unendlich haben wir eine
spannungsgesteuerte Stromquelle: Es fließt kein Steuerstrom mehr (vielmehr ein unendlich kleiner
Steuerstrom), dieser wird aber mit dem Faktor Unendlich verstärkt, so daß ein endlich großer Strom
erzeugt wird. Dies entspricht aber dem Kleinsignalersatzschaltbild des Feldeffekttransistors. Der
Faktor gm heißt hier allerdings S (Steilheit). Somit haben wir auch das Ergebnis für die Spannungsverstärkung der Feldeffekttransistorschaltung gefunden:
v u = −S * Z
Bevor wir zurück zum Bild 8.12 gehen, noch einige Anmerkungen zum Verstärkungsvorgang (Bild 8.13
rechts). Wir kümmern uns hier nur um das Wechselspannungssignal am Eingang.
199
Der Verstärkungsvorgang läuft wie folgt ab:
uBE wird größer --> iB wird größer
iB wird größer --> iC wird größer
iC wird größer --> Spannungsabfall ab RC wird größer
Spannungsabfall an RC wird größer --> Spannung Kollektor – Masse wird kleiner
Diese Beschreibung gilt für die positive Halbwelle der Eingangsspannung. Für die negative Halbwelle
muß man nur die Worte „größer“ und „kleiner“ vertauschen.
Ähnliches gilt für den Feldeffekttransistor:
uGS wird größer --> iD wird größer
iD wird größer --> Spannungsabfall ab RD wird größer
Spannungsabfall an RD wird größer --> Spannung Drain – Masse wird kleiner
Die positive Halbwelle der Eingangsspannung erzeugt also eine negative Halbwelle bei der
Ausgangsspannung. Wir haben eine Phasenverschiebung von 180° zwischen Eingangsspannung und
Ausgangsspannung. Im Kleinsignalersatzschaltbild entspricht diese Phasenverschiebung dem Minuszeichen bei der Formel für die Spannungsverstärkung.
Doch nun zurück zum Bild 8.12, welches uns die vollständigen Kleinsignalersatzschaltungen zeigt. Für
die Spannungsverstärkung gilt dann
v uE = −
ß rCE * R C
*
rBE rCE + R C
bzw.
v uS = −S *
rDS * R D
rDS + R D
für den Fall, daß kein Lastwiderstand RL am Ausgang angeschlossen ist.
Mit Lastwiderstand RL ändern sich die Ausdrücke zu
v uE = −
und
ß
* (rCE II R C II R L )
rBE
v uS = −S * (rDS II R D II R L )
Der Eingangswiderstand der Schaltungen ist
reE = R1 II R 2 II rBE
200
Der Eingangswiderstand der Emitterschaltung ohne Gegenkopplung ist recht gering, da rBE relativ
klein ist.
Beim FET finden wir andere Verhältnisse vor:
reS = R1 II R 2
Hier wird der Eingangswiderstand lediglich von den Widerständen R1 und R2 bestimmt, so daß es sich
lohnt, diese möglichst hochohmig zu machen, wenn man einen großen Eingangswiderstand erreichen
will. In diesem Zusammenhang sei auch noch einmal auf den Widerstand R3 im Bild 7.8 verwiesen,
der die Schaltung noch hochohmiger macht.
Den Ausgangswiderstand der Schaltungen bestimmen wir am Klemmenpaar 2-2‘ durch einen LeerlaufKurzschlußversuch:
Im Leerlauf (RL=∞) finden wir für die Ausgangsspannung beim Bipolartransistor
uaL = −ue *
ß rCE * R C
*
rBE rCE + R C
Der Kurzschlußstrom (RC=0) ist dann gleich
iK = ß *
ue
rBE
Der Ausgangswiderstand der Schaltung ist dann beim Bipolartransistor gleich
raE = −
uaL rCE * R C
=
= rCE II R C
iK
rCE + R C
Das Minuszeichen bei obigem Ausdruck rührt daher, daß iK und ua entgegengesetzt gerichtet sind.
Viele Autoren lassen das Minuszeichen weg, beide Schreibweisen sind üblich. Analog finden wir für
den Ausgangswiderstand der Sourceschaltung
raS =
rDS * R D
= rDS II R D
rDS + RD
Als letzte interessierende Größe muß nun noch die Stromverstärkung berechnet werden. Wir berechnen die Stromverstärkung ohne Lastwiderstand RL. Dann ist der Kollektorwechselstrom iC der Strom
durch den Widerstand RC.
v iE =
iC 1
rCE
rCE
= * ß * iB *
=ß*
iB iB
rCE + R C
rCE + R C
Dies entspricht der Stromteilerregel. Nun wird auch klar, warum die Wechselstromverstärkung ß auch
Kurzschlußstromverstärkung genannt wird: Nur wenn RC gleich Null ist, fließt der volle Strom ß*iB aus
dem Transistor heraus, rCE ist stromlos. Die Stromverstärkung ist positiv, das bedeutet, daß Basisstrom und Kollektorstrom in Phase sind.
201
Beim Feldeffekttransistor fließt kein Eingangsstrom, deshalb ist die Stromverstärkung der Sourceschaltung unendlich groß:
v iS = ∞
Zur Emitter- und Sourceschaltung noch einige Bemerkungen. Die Verstärkung ist abhängig von den
Steilheiten gm bzw. S. Beide Steilheiten sind arbeitspunktabhängig. Für gm finden wir
gm =
I
ß
I
ŧ* B ŠC
rBE
UT UT
Dabei ist die Stromverstärkung ß über weite Bereiche des Kollektorstromes etwa konstant. Das
Ungefähr-Zeichen habe ich deshalb gewählt, weil IB/UT der idealen Diodenkennlinie entspräche, was
jedoch nicht der Fall ist.
Für die Steilheit S wurde im letzten Kapitel für JFETs folgender Ausdruck hergeleitet:
S=
2I
dID
= DSS
* (UGS − UP ) * (1 + λ * UDS )
dUGS
UP2
Sowohl bei gm als auch bei S ist also eine deutliche Arbeitspunktabhängigkeit vorhanden. Dies
bedeutet aber auch: Ändert man den Arbeitspunkt eines Transistors, so ändert sich (unter anderem)
auch die Verstärkung. Dies kann man dazu ausnutzen, regelbare Verstärker aufzubauen. Dies
geschieht dadurch, daß man aus dem Ausgangssignal eines Verstärkers eine Regelspannung gewinnt
und diese Gleichspannung dazu benutzt, den Arbeitspunkt des Verstärkers zu ändern.
Vergleicht man außerdem die Zahlenwerte von gm und S, so erkennt man, daß gm bei vergleichbaren
Arbeitspunkten wesentlich größer ist als S. Dies kann dazu verführen, nur noch Bipolartransistoren
einzusetzen, da die erreichbare Verstärkung wesentlich größer ist als bei Feldeffekttransistoren. Leider
wachsen aber die Bäume nicht in den Himmel: Da die Kennlinie des Bipolartransistors wesentlich
gekrümmter ist als die Kennlinie des FETs (exponentiell gegenüber quadratisch), sind auch die
Verzerrungen beim Bipolartransistor deutlich größer als beim Feldeffekttransistor.
Dazu eine überschlägige Berechnung für den Bipolartransistor:
Ein Maß für die auftretenden Verzerrungen ist das Verhältnis des Effektivwertes der Oberwellen zur
Grundwelle; die Total Harmonic Distortions, THD:
U12 + U22 + ... + Un2
THD =
U0
Im Arbeitspunkt liege ohne Aussteuerung eine Spannung UEA zwischen Basis und Masse, der
Emitterwiderstand sei für die Signalspannung überbrückt. Nun werde eine sinusförmige Spannung an
die Basis angelegt, so daß die gesamte Spannung zwischen Basis und Masse gleich
Ue (t ) = UEA + Ue * sin(ω t )
ist.
202
Für den Kollektorstrom gilt dann der Stromverstärkung B
IC (t ) = B * IS * e
UEA
UT
*e
Ue
* sin (ωt )
UT
Die Funktion eUe*sinωt/UT läßt sich in eine Reihe entwickeln, so daß für den gesamten Kollektorstrom
gilt
IC (t ) = B * IS * e
UEA
UT
 U

U2
* 1 + e * sin(ω t ) + e2 * (1 − cos(2ω t )) + ...
4UT
 UT

Die Reihe wird nach dem zweiten Glied abgebrochen, da sie für kleine Aussteuerungen sehr schnell
konvergiert. Für die Verzerrungen gilt dann
THD ≈
IC1
U
= e
IC0 4UT
Da die Ausgangsspannung durch den Spannungsabfall des Stromes an einem linearen Netzwerk entsteht, sind die Verzerrungen der Ausgangsspannung gleich den Verzerrungen des Stromes. Die Verzerrungen für kleine Aussteuerungen sind somit proportional zur Amplitude der Eingangswechselspannung und keine Funktion des Arbeitpunktes. Sollen die Verzerrungen den Wert von
1% nicht überschreiten, so gilt
Ue max = 0.01 * 4UT ≈ 1mV
8.3.1.2 Emitterschaltung und Sourceschaltung mit Gegenkopplung
Bis jetzt haben wir die „reine“ Emitterschaltung bzw. Sourceschaltung besprochen. Das heißt, daß
Emitter und Source wechselspannungsmäßig an Masse lagen. Dies geschah dadurch, daß wir den
Emitter- und Sourcewiderstand durch einen ausreichend bemessenen Kondensator für Wechselspannungen kurzgeschlossen haben. Nun entfernen wir die Kondensatoren CE bzw. CS. Die Widerstände RE und RS wirken nun als Gegenkopplung und verringern die Verzerrungen erheblich. Allerdings ändern sich auch die anderen Eigenschaften der Schaltung, so daß wir sie neu berechnen
müssen.
203
Bild 8.14 zeigt die Kleinsignalersatzschaltbilder der Schaltungen, wobei hier bewußt eine Vereinfachung vorgenommen wurde: Der Widerstand RL entfällt. Er liegt wechselspannungsmäßig parallel zu
RC bzw. RD.
B iB
1
C iC
rBE
rCE
RG
R1
ue
uG
2
ß * iB
R2
RC
ua
E
~
RE
uRE
iE
1'
2'
D iD
G
1
uGS
RG
R1
ue
uG
R2
2
rDS
S * uGS
RD
ua
S
~
RS
uRS
iS
1'
2'
Bild 8.14: Gegengekoppelte Emitterschaltung und Sourceschaltung
Neu hinzugekommen sind die Widerstände RE und RS. Emitter und Source liegen nun nicht mehr an
Masse.
Wir wollen zuerst die Eigenschaften der gegengekoppelten Emitterschaltung berechnen und dann die
der Souceschaltung.
Eine Durchrechnung zeigt, daß der Kollektorknoten Schwierigkeiten bereitet, da der Strom durch den
Widerstand rCE bestimmt werden muß. Wir werden deshalb ein anderes Ersatzschaltbild für den
Transistor einführen und die Schaltung somit etwas vereinfachen (Bild 8.15)
204
rCE
= ß*r * i
CE
B
rCE
~
ß * iB
B iB
1
C iC
2
rCE
rBE
RG
R1
ue
uG
ß*rCE*iB
R2
~
RC
ua
E
~
RE
uRE
iE
1'
2'
Bild 8.15: Verändertes Ersatzschaltbild der Emitterschaltung
Die gesteuerte Stromquelle ß*iB mit dem Parallelwiderstand rCE wurde durch eine gesteuerte
Spannungsquelle ß*rCE*iB mit dem Reihenwiderstand rCE ersetzt.
Wir lesen zuerst einmal aus diesem Ersatzschaltbild ab:
iE = iB + iC
und stellen zwei Maschengleichungen auf:
(iB + iC ) * RE + iC * (R C + rCE ) − ß * rCE * iB = 0
und
ue − (iB + iC ) * R E − iB * rBE = 0
Die erste Gleichung wird uns nach einiger Rechnung einen Zusammenhang zwischen iB und iC liefern.
iB * R E + iC * R E + iC * R C + iC * rCE − ß * rCE * iB = 0
iC * (R E + R C + rCE ) = iB * (ß * rCE − R E )
und damit
iC = iB *
ß * rCE − R E
R E + R C + rCE
Damit haben wir als erstes Ergebnis einen Ausdruck für die Stromverstärkung der gegengekoppelten
Emitterschaltung gefunden:
v iE =
iC
ß * rCE − R E
=
iB R E + R C + rCE
205
Den soeben ermittelten Ausdruck für den Kollektorstrom setzen wir nun in folgende Gleichung ein
ue − (iB + iC ) * R E − iB * rBE = 0

ß * rCE − R E 
 * R E − iB * rBE = 0
ue −  iB + iB *
R E + R C + rCE 

ue − iB *
R E + R C + rCE + ß * rCE − R E
* R E − iB * rBE = 0
R E + R C + rCE
ue − iB *
(ß + 1) * rCE + R C * R
R E + R C + rCE
E
− iB * rBE = 0
Auflösen ergibt
ue = iB *
(ß + 1) * rCE + R C * R
R E + R C + rCE
E
+ iB
rBE * (RE + R C + rCE )
R E + R C + rCE
Jetzt wird’s länglich:
ue = iB *
(ß + 1) * rCE * RE + R CRE + rBE * RE + rBE * R C + rBE * rCE
R E + R C + rCE
Somit haben wir den Ausdruck für den Basisstrom iB gefunden
iB = uE *
R E + R C + rCE
(ß + 1) * rCE * RE + R CRE + rBE * RE + rBE * R C + rBE * rCE
und können den Kollektorstrom berechnen
iC = iB *
iC = uE *
(ß + 1) * rCE
iC = uE *
ß * rCE − R E
R E + R C + rCE
R E + R C + rCE
ß * rCE − R E
*
* R E + R CR E + rBE * R E + rBE * R C + rBE * rCE R E + R C + rCE
(ß + 1) * rCE
ß * rCE − R E
* R E + R CR E + rBE * R E + rBE * R C + rBE * rCE
Nun können wir die Ausgangsspannung als Funktion der Eingangsspannung berechnen
ua = −iC * R C = −uE *
(ß + 1) * rCE
ß * rCE − R E
* RC
* R E + R CR E + rBE * R E + rBE * R C + rBE * rCE
206
und erhalten für die Spannungsverstärkung vu den Ausdruck
vu =
ua
ß * rCE − R E
=−
*R
(ß + 1) * rCE * RE + R CRE + rBE * RE + rBE * R C + rBE * rCE C
ue
Liegt dem Kollektorwiderstand RC noch ein Lastwiderstand RL parallel, so gilt
vu =
ua
ß * rCE − R E
=−
* (R II R )
(ß + 1) * rCE * RE + R CRE + rBE * R E + rBE * R C + rBE * rCE C L
ue
Für den Eingangswiderstand des Transistors finden wir
reT =
(ß + 1) * rCE * R E + R CR E + rBE * RE + rBE * R C + rBE * rCE
ue
= ue *
iB
ue * (R E + R C + rCE )
reT =
(ß + 1) * rCE * RE + R CRE + rBE * R E + rBE * R C + rBE * rCE
(RE + R C + rCE )
Das ist eine sehr aufwendige Formel, die man ohne großen Fehler vereinfachen kann:
reT ≈ (ß + 1) * R E + rBE ≈ ß * R E + rBE
und für den Eingangswiderstand der gesamten Schaltung bezüglich des Klemmenpaares 1-1‘:
reE = R1 IIR 2I I reT
Zur Berechnung des Ausgangswiderstandes ist ein Leerlauf-Kurzschlußversuch denkbar:
ra =
ua (R C = ∞ )
− iC (R C = 0 )
Das Minuszeichen rührt daher, daß der Kurzschlußstrom entgegengesetzt zum Kollektorstrom angenommen wird. Diese Form der Berechnung ist jedoch noch nicht ganz korrekt. Bis jetzt haben wir ua
und iC als Funktion der Eingangsspannung ue angenommen. Bei Belastung wird sich diese Eingangsspannung jedoch verändern, da die Signalquelle einen Innenwiderstand aufweist. Die Spannung ue bei
Leerlauf wird einen anderen Wert haben als beim Kurzschluß. Deshalb ersetzen wir in Bild 8.15
Signalquelle, Innenwiderstand und die Parallelschaltung der Widerstände R1 und R2 durch eine Quelle
uL mit dem Innenwiderstand Ri. Es gilt
uL = uG *
R1I I R 2
R G + R1I I R 2
und
R i = R G II R1I I R 2
Wir finden dann statt
ue − iB *
(ß + 1) * rCE + R C * R
R E + R C + rCE
207
E
− iB * rBE = 0
den Ausdruck
uL − iB *
(ß + 1) * rCE + R C * R
R E + R C + rCE
E
− iB * (rBE + R i ) = 0
Dabei wurde rBE durch rBE+Ri ersetzt. Die treibende Spannung ist nicht mehr ue sondern uL.
Wir erhalten
iB = uL *
(ß + 1) * rCE
R E + R C + rCE
* R E + R C * R E + (R i + rBE ) * (R E + R C + rCE )
Für den Kollektorstrom gilt nach wie vor
iC = iB *
ß * rCE − R E
R E + R C + rCE
und damit
iC = uL *
(ß + 1) * rCE
iC = uL *
R E + R C + rCE
ß * rCE − R E
*
* R E + R C * R E + (R i + rBE ) * (R E + R C + rCE ) R E + R C + rCE
(ß + 1) * rCE
ß * rCE − R E
* R E + R C * R E + (R i + rBE ) * (R E + R C + rCE )
Für die Ausgangsspannung erhalten wir dann mit ua =-iC*RC
ua = −uL *
(ß + 1) * rCE
ß * rCE − R E
* RC
* R E + R C * R E + (R i + rBE ) * (R E + R C + rCE )
Im Leerlauffall ist der Kollektorwiderstand unendlich groß und die Formel vereinfacht sich zu
ua = −uL *
ß * rCE − R E
R E + R i + rBE
Der Kurzschlußstrom iK fließt bei kurzgeschlossenem RC:
iK = −uL *
(ß + 1) * rCE
ß * rCE − R E
* R E + (R i + rBE ) * (R E + rCE )
und somit gilt für den Ausgangswiderstand des Transistors
raT =
uaLeerlauf
ß * rCE − R E
= −uL *
iK
R E + R i + rBE
raT =
 (ß + 1) * rCE * R E + (R i + rBE ) * (R E + rCE ) 

*  −
u
*
(
ß
*
r
−
R
)
L
CE
E


uaLeerlauf (ß + 1) * rCE * R E + (R i + rBE ) * (R E + rCE )
=
iK
R E + R i + rBE
Vereinfacht:
raT ≈ ß * rCE
208
209
Schaltet man dem Transistor den Kollektorwiderstand RC parallel, so erhält man für den gesamten
Ausgangswiderstand der Emitterschaltung
raE = raT II R C
Soweit die exakten Daten. Eine Durchrechnung, die derart umfangreich ist, wird kein Prüfungsstoff
sein, zumal manche Vereinfachungen wirklich angebracht sind. Ohne eine exakte Formel kann man
aber nicht wissen, welche Vereinfachungen angebracht sind und welche nicht. Schaut man jedoch
einmal in Bücher oder auf Internetseiten, so findet man alle möglichen Vereinfachungen in allen möglichen Schreibweisen, so daß ich es für angebracht hielt, eine solche Rechnung einmal zu präsentieren.
Vielfach berechnet man die Daten der gegengekoppelten Emitterschaltung jedoch nur für den Fall, daß
der Innenwiderstand rCE der Stromquelle ß*iB unendlich groß ist. Dazu kehren wir zum Bild 8.14
zurück und streichen rCE. Wir können dann die folgenden Berechnungen vornehmen.
Die Masche für den Eingangskreis lautet
ue = uBE + uRE = iB * rBE + iB * (ß + 1) * R E
Damit kann man iB als Funktion von ue berechnen:
iB =
rBE
ue
+ (ß + 1) * R E
Quasi als Nebenprodukt fällt zuerst einmal der Eingangswiderstand des Transistors ab:
reT =
ue ue * (rBE + (ß + 1) * RE )
=
= rBE + (ß + 1) * RE
iB
ue
Der Eingangwiderstand des Transistors ist wesentlich größer als der Eingangswiderstand ohne
Gegenkopplung, der ja nur gleich rBE war. Damit finden wir für den Eingangswiderstand der Gesamtschaltung den Ausdruck
reE = R1 II R 2 II (rBE + (ß + 1) * R E )
Im Gegensatz zur nicht gegengekoppelten Schaltung wird der Eingangswiderstand hauptsächlich von
R1 und R2 bestimmt.
Der Spannungsabfall am Emitter ist gleich
uRE = iB * (ß + 1) * R E
und überhaupt nicht von RC abhängig. Wir werden auf diese Formel bei der Kollektorschaltung
zurückkommen.
Für den Kollektorstrom finden wir
i C = ß * iB = ß *
rBE
ue
ß
= ue *
+ (ß + 1) * R E
rBE + (ß + 1) * R E
210
und für die Ausgangsspannung
ua = −iC * R C = −ue *
rBE
ß * RC
+ (ß + 1) * R E
Damit erhalten wir für die Spannungsverstärkung der gegengekoppelten Emitterschaltung
v uE =
ua
ß * RC
=−
ue
rBE + (ß + 1) * RE
Die erreichbare Spannungsverstärkung ist wesentlich kleiner als die der nicht gegengekoppelten
Schaltung, allerdings sind auch die nichtlinearen Verzerrungen sehr stark reduziert.
Auch hier eine Anmerkung: Definiert man als „idealen Transistor“ ein Bauelement mit einer unendlich
großen Stromverstärkung ß, so erhält man für die Spannungsverstärkung den Ausdruck
v uE max = −
RC
RE
Wir finden – analog zum idealen Operationsverstärker – eine Schaltung vor, deren Verstärkung nur
von der äußeren Beschaltung abhängig ist. Allerdings ist die Bedingung „ß sehr groß“ wesentlich
schwerer zu erreichen als „vD sehr groß“. Trotzdem kann man sich als Faustregel merken:
Bei der gegengekoppelten Emitterschaltung ist die Verstärkung höchstens gleich –RC/RE
Die Stromverstärkung der Schaltung ist gleich ß, da rCE als unendlich angenommen wurde:
v iE = ß
Näherungweise gilt bei endlich großem rCE
v iE = ß *
rCE
rCE + R C
Für den Ausgangswiderstand raE findet man
raE = R C
Soweit zur Emitterschaltung. Nun werden die Eigenschaften der Sourceschaltung bestimmt.
Den Eingangswiderstand der Sourceschaltung kann man direkt aus dem Schaltbild bestimmen. Da
kein Signalstrom in den Transistor hineinfließt, gilt
reS = R1 II R 2
Die Stromverstärkung der Sourceschaltung ist wiederum gleich Unendlich:
v iS = ∞
211
Am nicht überbrückten Sourcewiderstand fällt die Spannung
uRS = iD * R S
ab. Dadurch ist die steuernde Spannung nicht mehr gleich ue, sondern nur noch
uGS = ue − uRS = ue − iD * R S
Der Drainstrom iD ist nicht gleich dem Strom, den die gesteuerte Quelle liefert, da ein Anteil durch rDS
fließt:
iD = S * uGS +
Ersetzen von uGS ergibt
(
ua − uRS
rDS
)
iD = S * ue − uRS +
ua uRS
−
rDS rDS
Die Spannung am Sourcewiderstand ist nur eine Zwischengröße, für sie gilt
uRS = iD * R S
Eingesetzt ergibt dies
iD = S * ue − iD * S * R S +
ua
R
− iD S
rDS
rDS
Um die Spannungsverstärkung vu auszurechnen, benötigen wir einen Ausdruck, in dem außer den
Schaltungsdaten nur noch die Terme ua und ue vorkommen. Deshalb ersetzen wir in der obigen
Formel den Drainstrom durch
iD = −
ua
RD
Dies führt dann zu
−
 u 
 u  R
ua
u
= S * ue −  − a  * S * R S + a −  − a  * S
RD
rDS  R D  rDS
 RD 
und weiter aufgelöst zu
−
ua
S * R S ua
RS
= S * ue + u a *
+
+ ua *
RD
RD
rDS
rDS * R D
Nun wird umgestellt:
 1 S * RS
1
RS 
 = S * ue
− ua * 
+
+
+
RD
rDS rDS * R D 
 RD
212
Die linke Seite wird auf einen Nenner gebracht
− ua *
rDS + S * R S * rDS + R D + R S
= S * ue
R D * rDS
Die Ausgangsspannung als Funktion der Eingangsspannung ist dann gleich
ua = −ue *
S * R D * rDS
R S * (S * rDS + 1) + rDS + R D
und damit erhalten wir für die Spannungsverstärkung der gegengekoppelten Sourceschaltung
vu =
ua
S * R D * rDS
S * RD
=−
≈−
ue
R S * (S * rDS + 1) + rDS + R D
1+ S * RS
Zum gleichen Ergebnis gelangt man übrigens, wenn man in der Formel für die Spannungsverstärkung
rBE und ß gegen unendlich gehen läßt (S=ß/rBE=const.) und die Terme der Emitterschaltung durch
die der Sourceschaltung ersetzt.
Da wir den Feldeffekttransistor in einem späteren Kapitel einmal als Konstantstromquelle betrachten
wollen, interessiert uns jetzt bereits der Ausgangswiderstand des gegengekoppelten Feldeffekttransistors mit RD->∞. Dazu berechnen wir zuerst die Leerlaufspannung für unendlich großes RD:


S * R D * rDS
 R D → ∞ = −ue * S * rDS
uaL = lim  − ue *
R S * (S * rDS + 1) + rDS + R D 

Für den Drainstrom iD gilt allgemein
iD = −
ua
S * rDS
= ue *
RD
R S * (S * rDS + 1) + rDS + R D
Setzt man RD zu Null, so erhält man den Kurzschlußstrom
iK = ue *
S * rDS
RS * (S * rDS + 1) + rDS
und für den Ausgangswiderstand des Transistors
raT = −
uaL
1 R S * (S * rDS + 1) + rDS
= ue * S * rDS *
*
= R S * (S * rDS + 1) + rDS
iK
ue
S * rDS
raT = R S * (S * rDS + 1) + rDS
Der Ausgangswiderstand der gegengekoppelten Sourceschaltung ist dann gleich der Parallelschaltung
von raT und RD:
raS = raT II R D =
R D * (R S * (S * rDS + 1) + rDS )
R D + R S * (S * rDS + 1) + rDS
213
214
Die wichtigsten Eigenschaften der Emitterschaltung bzw. Sourceschaltung sind:
- Der Betrag der Spannungsverstärkung ist wesentlich größer als 1.
- Die Stromverstärkung ist wesentlich größer als 1.
- Der Eingangswiderstand ist mittelgroß (Bei Sourceschaltung eventuell sehr groß).
- Der Ausgangswiderstand ist mittelgroß.
Diese Eigenschaften machen die Emitter- und Sourceschaltung zu den am meisten angewandten
Schaltungsvarianten.
Bild 8.16 zeigt die Eingangsstufe eines Mittelwellenempfängers in Sourceschaltung.
9V
1mH
1nF
J1 BF245C
1MΩ
Ferritantenne
1kΩ
47nF
1kΩ
500p
1MΩ
Uregel =
47nF
J2 BF245C
220Ω
0..-1.8V
Bild 8.16: HF-Vorstufe eines Mittelwellenempfängers
Die eigentliche Verstärkerstufe besteht aus dem oberen Transistor J1. Bei großen Eingangsspannungen ist auch die Ausgangsspannung groß, bei kleinem Eingangssignal ist die verstärkte Ausgangsspannung ebenfalls klein. Diesen Effekt kann man zur Regelung der Spannungsverstärkung
ausnutzen. Man richtet die Ausgangsspannung gleich (hier nicht gezeigt) und erzeugt eine negative
Regelspannung. Je größer das Ausgangssignal ist, um so negativer ist die Regelspannung. Diese
Regelspannung wird dem unteren Transistor J2 zugeführt. Dieser arbeitet im ohmschen Bereich des
Ausgangskennlinienfeldes. Je negativer die Regelspannung ist, umso größer ist der Widerstand des
Transistors. Wenn man sich die Gesamtschaltung anschaut, so bemerkt man, daß dieser Transistor
als „Gegenkopplungswiderstand“ geschaltet ist. Je größer die Gegenkopplung, umso kleiner die
Verstärkung der Stufe. Somit arbeitet die Gesamtschaltung wie folgt: Bei großem Eingangssignal wird
eine große negative Regelspannung erzeugt und J2 drosselt die Verstärkung von J1. Bei kleinem
Eingangssignal ist so gut wie keine Gegenkopplung vorhanden und J1 verstärkt voll. Auf diese Art und
Weise wird erreicht, daß die hochfrequente Ausgangsspannung der Stufe fast unabhängig vom
Eingangssignal ist. Somit werden Übersteuerungen vermieden und außerdem erreicht, daß der
subjektive Höreindruck nicht mehr so stark vom Sender und Feldstärkeschwankungen („Fading“)
abhängt.
215
8.3.2 Kollektorschaltung und Drainschaltung
In der Emitter- bzw. Sourceschaltung haben wir so etwas wie eine „Universalschaltung“ kennengelernt.
Die verbleibenden Schaltungsvarianten sind aufgrund ihrer Eigenschaften für Spezialanwendungen
hervorragend geeignet, es fehlt ihnen allerdings die universelle Anwendbarkeit.
Typische Eigenschaften der Kollektorschaltung bzw. Drainschaltung sind
- großer Eingangswiderstand
- kleiner Ausgangswiderstand
- Spannungsverstärkung kleiner 1, aber etwa gleich 1
- Betrag der Stromverstärkung groß
Die Kollektorschaltung und die Drainschaltung werden vielfach auch „Emitterfolger“ bzw. „Sourcefolger“ genannt, was eine beliebte Quelle für die Verwechslung mit der Emitterschaltung und der
Sourceschaltung ist. Deshalb sollen diese beiden Begriffe hier nicht mehr benutzt werden.
Bild 8.17 zeigt die Kollektor- und die Drainschaltung
+UB
+UB
R1
R1
1
1
ue
uG
2
2
RG
R2
~
RE
1'
RG
ua
RL
2'
ue
uG
R2
~
1'
RS
ua
2'
Bild 8.17: Kollektor- und Drainschaltung
Bei der Kollektorschaltung liegt der Kollektor wechselspannungsmäßig an Masse. In der realen
Schaltung ist er direkt mit der positiven Betriebsspannung verbunden. Analoges gilt für die Drainschaltung. Das Ausgangssignal wird am Emitter bzw. am Sourceanschluß abgegriffen. Die Kleinsignalersatzschaltbilder zeigt Bild 8.18. Auch hier wurden wieder alle Kondensatoren als Kurzschlüsse
für die Signalspannung betrachtet.
216
RL
B iB
1
rBE
E
RG
uG
R2
R1
ue
2
~
rCE
ß * iB
RE RL
ua
i2
1'
C
2'
S
2
G
1
uGS
RG
ue
uG
R2
R1
~
rDS
S * uGS
RS RL
ua
i2
D
1'
2'
Bild 8.18: Kleinsignalersatzschaltbilder der Kollektor- und der Drainschaltung
Wir wollen nun die Eigenschaften der Kollektorschaltung berechnen. Der Einfachheit halber ersetzen
wir die parallelgeschalteten Widerstände rCE, RE und RL durch einen Widerstand R. Dann kann man
für den Emitterknoten folgenden Gleichung aufstellen:
iB + ß * iB −
ua
=0
R
Diese Ströme drücken wir als Funktion der Spannungen aus:
u e − ua
u − ua ua
+ß* e
−
=0
rBE
rBE
R
und lösen diesen Ausdruck nach den Spannungen auf
(ß + 1) * u
rBE
e
 1 (ß + 1) 

= ua *  +
rBE 
R
Damit erhalten wir
vu =
ua
=
ue
ß +1
(ß + 1) * R
=
 1 (ß + 1)  rBE + (ß + 1) * R

rBE *  +
rBE 
R
217
Nun steht der Widerstand R jedoch als Ersatz für die Parallelschaltung einiger Widerstände. Betreiben
wir die Kollektorschaltung ohne den Lastwiderstand RL, so gilt
v uK =
(ß + 1) * RE IIrCE
rBE + (ß + 1) * R E IIrCE
v uK =
=
(ß + 1) * RE * rCE
rBE * RE + rBE * rCE + (ß + 1) * R E * rCE
(ß + 1) * RE * rCE
rBE * (rCE + R E ) + (ß + 1) * R E * rCE
Im allgemein gilt
ß >> 1
und
rCE >> R E
so daß wir vereinfacht schreiben können
v uK =
(ß + 1) * RE * rCE
rBE * (rCE + RE ) + (ß + 1) * RE * rCE
≈
ß * RE
rBE + ß * RE
Mit dem Lastwiderstand RL haben wir eine Parallelschaltung dreier Widerstände. Dies in die Formel
für die Verstärkung hineinzupacken führt zu einem langen Ausdruck. Es empfiehlt sich in diesem Fall
daher, den Widerstand R als Funktion der Parallelschaltung der drei Widerstände zuerst auszurechnen und diesen Widerstand dann einzusetzen.
v uK =
(ß + 1) * RE IIrCE IIRL
rBE + (ß + 1) * R E II rCE II R L
≈
ß * R E II R L
rBE + ß * R E II R L
Die Spannungsverstärkung der Kollektorschaltung ist kleiner als 1, aber etwa gleich 1. Die Phasenverschiebung zwischen Eingangsspannung und Ausgangsspannung ist gleich 0 Grad.
Aufgrund der starken Gegenkopplung durch RE und RS sind die Verzerrungen der Kollektor- und
Drainschaltung sehr gering.
Nun zum Eingangswiderstand der Kollektorschaltung. Dazu berechnen wir zuerst einmal den
Eingangswiderstand des Transistors.
reT =
ue
r
= ue * BE
iB
ue − ua
Wir erinnern uns, daß für die Ausgangsspannung ua gilt
ua = v uK * ue = ue *
(ß + 1) * RE * rCE
rBE * (rCE + R E ) + (ß + 1) * R E * rCE
218
und damit
reT =
ue
ue * rBE
r
=
= BE
iB ue * (1 − v uK ) 1 − v uK
Den Ausdruck 1-vuK berechnen wir zu
1 − v uK = 1 −
(ß + 1) * RE * rCE
rBE * (rCE + RE ) + (ß + 1) * RE * rCE
=
rBE * (rCE
rBE * (rCE + RE )
+ RE ) + (ß + 1) * RE * rCE
und erhalten
reT =
ue
ue * rBE
r * (r * (r + RE ) + (ß + 1) * R E * rCE )
r
=
= BE = BE BE CE
iB ue * (1 − v uK ) 1 − v uK
rBE * (rCE + R E )
reT =
(rBE * (rCE + R E ) + (ß + 1) * RE * rCE )
(rCE + RE )
Dieser Ausdruck gilt für unendlich großes RL, bei endlich großem RL muß man RL dem Widerstand
RE parallelschalten. Unter Berücksichtigung der Tatsache, daß rCE wesentlich größer als RE ist, kann
man den Ausdruck vereinfachen zu
reT ≈ rBE + (ß + 1) * R E ≈ rBE + ß * R E
beziehungsweise
reT ≈ rBE + ß * RE II R L
Der Eingangswiderstand des Transistors ist wesentlich größer (etwa ß*RE) als der Eingangswiderstand der nicht gegengekoppelten Emitterschaltung.
Für den Eingangswiderstand der Gesamtschaltung erhält man dann
reK = R1 II R 2 II reT
Im Gegensatz zur Emitterschaltung wird der Eingangswiderstand der Kollektorschaltung hauptsächlich
von den Widerständen R1 und R2 bestimmt. Legt man Wert auf einen großen Eingangswiderstand, so
sollte man R2 weglassen, so daß R1 groß werden darf.
Ohne den Lastwiderstand RL gilt für die Stromverstärkung
v iK =
rCE
rCE
i2 − ß * iB
=
*
= −ß *
iB
iB
rCE + R E
rCE + R E
Das Minuszeichen kommt von der Richtung des Stromes i2. Der rechte Teil des Ausdrucks rührt von
der Stromteilerregel her.
219
Interessiert man sich für den Strom durch RL, so gilt:
v iK =
iRL
= −ß *
iB
rCE II R E
rCE II RE + RL
Als letztes muß nun noch der Ausgangswiderstand der Kollektorschaltung bestimmt werden. Dazu
ändern wir jedoch unser Kleinsignalersatzschaltbild wiederum etwas ab (Bild 8.19).
Ri
1
iB
B
rBE
E
uL
~
2
ue
rCE
ß * iB
RE RL
i2
C
1'
ua
2'
Bild 8.19: Geändertes Kleinsignalersatzschaltbild zur Bestimmung des Ausgangswiderstandes
Wir ersetzen die Signalquelle uG und die Widerstände RG, R1 und R2 erneut durch eine Ersatzquelle
uL und den Innenwiderstand Ri mit
uL =
R1 II R 2
R G + R1 II R 2
und
R i = R G II R1 II R 2
Da der Widerstand Ri auch von iB durchflossen wird, können wir für die Spannungsverstärkung der
neuen Schaltung schreiben
v uges =
(ß + 1) * R E IIrCE IIRL
u2
=
uL (R i + rBE ) + (ß + 1) * R E II rCE II R L
oder
uaLeerlauf = uL *
(ß + 1) * RE IIrCE
(Ri + rBE ) + (ß + 1) * RE IIrCE
Dies gilt bezüglich des Klemmenpaares 2-2‘. Schließen wir dieses Klemmenpaar kurz, so erhalten wir
für den Knoten 2 folgende Gleichung
iK + ß * iB + iB = 0
Dabei weist der Pfeil von iK in die Klemme 2 hinein.
iK = −(ß + 1) * iB = −(ß + 1) *
220
uL
R i + rBE
Als Ausgangswiderstand finden wir dann
raK = −
uaLeerlauf
(ß + 1) * RE IIrCE
= −uL *
iK
(Ri + rBE ) + (ß + 1) * RE II rCE
raK =
 R +r
1
*  − i BE * 
 ß + 1 uL 
(ß + 1) * RE IIrCE
R +r
* i BE
(Ri + rBE ) + (ß + 1) * RE IIrCE ß + 1
Dabei ist der erste Term dieses Ausdruckes etwas kleiner als 1, so daß wir schreiben können
raK ≈
R i + rBE R G II R 1 II R 2 + rBE
=
ß +1
ß +1
Nun werden wir aus den Gleichungen für die Kollektorschaltung die Eigenschaften der
Drainschaltung ermitteln, ohne eine erneute Netzwerkanalyse vorzunehmen.
Um zu diesen Gleichungen zu gelangen, muß die Steilheit S bestimmt werden mit
S ↔ gm =
ß
rBE
Dabei gehen ß und rBE derart gegen Unendlich, daß S konstant ist.
Für die Spannungsverstärkung der Kollektorschaltung galt ja (ohne RL)
v uK =
(ß + 1) * RE * rCE
rBE * (rCE + R E ) + (ß + 1) * R E * rCE
Wenn ß gegen Unendlich geht, so tut es ß+1 auch. Wir dividieren Zähler und Nenner durch rBE und
erhalten mit S=(ß+1)/rBE
v uD =
(rCE
S * R E * rCE
S * R S * rDS
=
+ R E ) + S * R E * rCE (rDS + R S ) + S * R S * rDS
In einem Zwischenschritt wurden noch RE durch RS und rCE durch rDS ersetzt. Nun dividieren wir der
Eleganz halber noch einmal durch RS*rDS:
v uD =
S * RP
S
S
=
=
rCE + R E
1
1 + S * RP
+S
+S
RP
R E * rCE
Dabei ist RP die Parallelschaltung von RS und rDS. Nun kennen wir die Spannungsverstärkung der
Drainschaltung:
Ohne RL:
v uD =
S * rDS II R S
1 + S * rDS II R S
221
und mit RL:
v uD =
S * rDS II R S II R L
1 + S * rDS II R S II R L
Für den Eingangswiderstand benötigen wir die Umrechnungen nicht (obwohl das auch geht). Aus dem
Schaltbild lesen wir ab:
reD = R1 II R 2
Genauso mit der Stromverstärkung. Da in den FET kein Eingangsstrom fließt, gilt
v iD = ∞
Nun zum Ausgangswiderstand. Der war für die Kollektorschaltung gleich
raK =
(ß + 1) * RE II rCE
R +r
* i BE
(Ri + rBE ) + (ß + 1) * RE II rCE ß + 1
Nun ersetzen wir RE durch RS und rCE durch rDS und erhalten als Zwischenprodukt
raK =
(ß + 1) * R S II rDS
R +r
* i BE
(Ri + rBE ) + (ß + 1) * R S II rDS ß + 1
Nun lassen wir rBE über alle Grenzen streben und lassen Ri weg, da es verschwindend klein gegenüber rBE ist:
raD =
(ß + 1) * R S II rDS * rBE
rBE + (ß + 1) * R S II rDS ß + 1
Fast fertig: Wir dividieren Zähler und Nenner jetzt noch durch rBE, um auf S zu kommen:
(ß + 1) * R S II rDS
raD =
rBE
S * R S II rDS
rBE
r
1
* BE =
*
+ (ß + 1) * R S II rDS ß + 1 1 + S * R S II rDS S
rBE
Damit lautet das Ergebnis:
raD =
R S II rDS
1
≈
1 + S * R S II rDS S
Der Ausgangswiderstand der Drainschaltung ist ebenso wie der der Kollektorschaltung klein, die
Spannungsverstärkung aber ebenfalls kleiner als 1. Da S des FETs im allgemeinen kleiner ist als
ß/rBE, liegt die Spannungsverstärkung der Kollektorschaltung näher an 1 als die der Drainschaltung.
Die Hauptanwendung der Kollektor- und Drainschaltung ist die des Impedanzwandlers. Der große
Eingangswiderstand belastet eine Signalquelle so gut wie gar nicht, am Ausgang der Schaltung
erscheint
das
Eingangssignal,
allerdings
niederohmig.
222
Damit sind die Stellen klar, an denen der Einsatz einer Kollektor- bzw. Drainschaltung innerhalb einer
Verstärkerkette angebracht sein kann, nämlich am Anfang und am Ende (Bild 8.20).
Ri
uL
K1
E1
E2
E3
K2
~
RL
ua
Bild 8.20: Einsatz der Kollektorschaltung und Drainschaltung
Die Kollektorschaltung K1 hat einen sehr großen Eingangswiderstand, so daß möglichst wenig von der
Signalspannung uL am Innenwiderstand der Signalquelle verloren geht. K1 paßt den großen Innenwiderstand der Signalquelle an den mittelgroßen Eingangswiderstand der ersten Spannungsverstärkerstufe E1 an. Der Einsatz einer Kollektorschaltung an dieser Stelle ist natürlich nur dann
angebracht, wenn der Innenwiderstand der Signalquelle sehr groß ist. Es folgen - in unserem Beispiel
drei - Spannungsverstärkerstufen in Emitterschaltung. Am Ende der Kette finden wir wiederum eine
Kollektorschaltung. Sie paßt den mittelgroßen Ausgangswiderstand der Stufe E3 an den niederohmigen Lastwiderstand RL an. Dies könnte beispielsweise ein Lautsprecher mit einer Impedanz von 4
Ohm sein. Da eine Kollektorschaltung eine Spannungsverstärkung von 1 aufweist, muß bereits die
Emitterschaltung E3 den maximalen Ausgangsspannungshub uamax erzeugen. Das hier
Geschriebene gilt natürlich auch Verstärker in FET-Technologie in Source- und Drainschaltung.
Als Schaltungsbeispiel für eine Kollektorschaltung sei hier nicht die Impedanzwandlerfunktion vorgeführt, sondern das stabilisierte Netzteil (Bild 8.21)
Ri
uein
RZ
~
UBE
C1
Uein
=
C2
+UB
RL
UZ
ZD
Bild 8.21: Stabilisiertes Netzteil
Dabei handelt es sich allerdings nur um die allereinfachste Ausführung. Eine Gleichrichterschaltung
liefert eine Gleichspannung Uein, der noch eine Wechselspannung uein überlagert ist. Diese Wechselspannung ist allerdings nicht sinusförmig, sondern hat eher den Charakter eines Sägezahns.
Der Kondensator C1 siebt einen Großteil dieser Wechselspannung heraus. Nun kommt die eigentliche
Kollektorschaltung. Die Reihenschaltung des Widerstandes RZ mit der Zenerdiode ZD stellt eine
„Signalquelle“ dar und liefert die Eingangsspannung UZ für die Kollektorschaltung. Das „Ausgangssignal“ wird am Emitter abgenommen und ist die Spannung +UB. Der Lastwiderstand RL symbolisiert
die angeschlossene Elektronik.
223
Wir stellen eine Masche auf
U Z = UBE + (+ UB )
und berechnen die Ausgangsspannung:
+ UB = U Z − UBE ≈ U Z − 0.7 V
Wegen des exponentiellen Zusammenhanges zwischen Basis-Emitter-Spannung UBE und dem
Kollektorstrom können wir UBE als konstant ansehen.
Die Ausgangsspannung +UB der Stabilisierungsschaltung ist um etwa 0.7 Volt kleiner
als die Zenerspannung UZ.
Der Kondensator C2 dient dem Schutz vor unerwünschen Schwingungen. Die Schaltung ist so, wie sie
hier dargestellt ist, noch nicht kurzschlußsicher. Ein weiterer Nachteil ist die Verlustleistung, die im
Transistor auftritt. Dieser Nachteil hat dazu geführt, daß viele heutige Stromversorgungen auf dem
Prinzip des Schaltnetzteiles beruhen, dessen Wirkungsgrad größer ist als der Wirkungsgrad der
Spannungsstabilisierungsschaltung nach Bild 8.21. Schaltnetzteile können allerdings hochfrequente
Einstreuungen erzeugen, so daß eine klassische Spannungsstabilisierung in vielen Fällen immer noch
das Mittel der Wahl ist.
Bild 8.22 zeigt das Schaltbild einer Leistungsendstufe mit Leistungs-MOSFETs. Es handelt sich dabei
um Anreicherungstypen, man findet einen N-Kanal-FET und einen P-Kanal-FET, die jeweils in Drainschaltung betrieben werden.
R1
R5
T1
=
+UB
=
-UB
C1
R2
RL
_
OUT
+
R3
C2
ue
R6
R4
Bild 8.22: Endstufe mit Leistungs-MOSFETs
224
T2
Die Schaltung benötigt zwei Betriebsspannungsquellen, ihr gemeinsamer Punkt ist der Masseanschluß. Die Widerstände R1 und R2 stellen die Gate-Source-Spannung des N-Kanal-Transistors
derart ein, daß der Transistor im nicht so stark gekrümmten Teil der Eingangskennlinie betrieben wird.
Eine analoge Funktion haben die Widerstände R3 und R4 für den P-Kanal-Transistor. Das Eingangssignal wird den Transistoren über die Kondensatoren C1 und C2 zugeführt, wechselspannungsmäßig
sind die beiden Transistoren parallel geschaltet. Während der positiven Halbwelle leitet der N-KanalTransistor und es fließt ein Strom durch die obere Spannungsquelle, T1 und über RL zurück in die
Quelle. Der P-Kanal-Transistor sperrt (fast) völlig. Bei der negativen Halbwelle sperrt T1 und es fließt
ein Strom von der unteren Betriebsspannungsquelle über T2 und RL zurück in die Quelle. Mit Hilfe der
Widerstände R2 und R3 werden die Gate-Source-Spannungen der beiden MOSFETs derart eingestellt, daß ein geringer Ruhestrom fließt. Damit ist sichergestellt, daß die Übertragungskennlinie ua/ue
linear ist. Die hochohmigen Widerstände R5 und R6 entkoppeln die Wechselspannung von der Gleichspannungseinstellung. Dadurch ist gewährleistet, daß nur ein geringer Anteil des Wechselspannungssignals durch die Bauelemente der Gleichspannungseinstellung abfließt, der ganze Signalstrom steht
zur Steuerung der MOSFETs zur Verfügung. Der Operationsverstärker ist in den Gegenkopplungskreis
des Verstärkers mit einbezogen und sorgt somit dafür, daß die Verzerrungen der Endstufe gering sind
Weiterhin ist gewährleistet, daß die Ausgangsspannung des Verstärkers ohne Signal 0 Volt beträgt.
Da MOSFETs bei steigender Temperatur schlechter leiten, ist ein thermisches "Weglaufen" der
Endstufe mit steigender Temperatur nicht zu befürchten. Dies ist ein großer Vorteil gegenüber
Endstufen mit Bipolartransistoren. Man muß allerdings bei der Dimensionierung der Schaltung beachten, daß die Eingangskapazität von Leistungs-MOSFETs im Bereich einiger Nanofarad liegt und nicht
zu vernachlässigen ist.
8.3.3 Basisschaltung und Gateschaltung
Die Eigenschaften dieser Grundschaltungen prädestinieren sie für Anwendungen im Hochfrequenzbereich. Deshalb finden wir in den Schaltbildern von Bild 8.23 eine Hochfrequenzdrossel in der
Emitter/Source-Zuleitung.
RG
1
2
RC
(L)
uG
ue
~
R2
RE
RG
R1
RL
ua
1'
2'
1
2
~
ue
R2
RS
1'
225
=
+UB
R1
RL
ua
2'
Bild 8.23: Basisschaltung und Gateschaltung
+UB
RD
(L)
uG
=
Die Zuführung des Eingangssignales erfolgt am Emitter- bzw. Sourceanschluß. Damit kein Signalstrom
unnötig über den Emitter/Sourcewiderstand nach Masse abfließt, ist hier im Schaltbild eine
Hochfrequenzdrossel L eingefügt. Sie ist im Prinzip nicht notwendig, vermeidet aber unnötige
Spannungsabfälle am Innenwiderstand RG der Signalquelle. Weiterhin erkennt man, daß Basis und
Gate über einen ausreichend bemessenen Kondensator nach Masse kurzgeschlossen sind. Die
Auskopplung des verstärkten Signales erfolgt über einen Kondensator.
Die dazugehörigen Kleinsignalersatzschaltbilder zeigt das Bild 8.24. Die Widerstände RE und RS sind
nur dann wirksam, wenn die Drosseln nicht vorhanden sind. Man beachte auch die Richtung des
Basisstromes iB und der Gate-Source-Spannung uGS.
rCE
1
RG
iE E
2
iC
ß * iB
iB
ue (R )
E
uG
C
rBE
RC
ua
RL
~
B
1'
2'
rDS
1
RG
D
2
iD
S * uGS
ue (R )
S
uG
iS S
uGS
RD
ua
RL
~
G
1'
2'
Bild 8.24: Kleinsignalersatzschaltbilder der Basis- und der Gateschaltung
Auch hier sollen die Eigenschaften der Schaltungen anhand der Basisschaltung berechnet werden und
die Ergebnisse dann auf die Gateschaltung übertragen werden.
Für den Basisstrom können wir direkt folgenden Zusammenhang erkennen (Richtung beachten):
iB = −
ue
rBE
und für den Kollektorknoten gilt
i C = ß * iB +
ua − ue
rCE
wobei wir den Kollektorstrom ic folgendermaßen ausdrücken können:
iC = −
ua
RC
226
Stop. In der vorletzten Gleichung haben wir einen Knotenterm mit ua und ue. Knotengleichungen
können wir vermeiden, indem wir wiederum das andere Ersatzschaltbild für den Ausgangskreis des
Transistors nehmen und es in das Kleinsignalersatzschaltbild der Basisschaltung einsetzen. Wir
probieren es einmal (Bild 8.25).
rCE
rCE
= ß*r * i
CE
B
~
ß * iB
ß*rCE * iB
RG
uG
rCE
iB
ue (R )
E
C
~
iE E
1
rBE
2
iC
RC
ua
RL
~
B
1'
2'
Bild 8.25: Geändertes Ersatzschaltbild für die Basissschaltung
Nun bilden wir eine Masche:
ue + iC * (R C + rCE ) − ß * rCE * iB = 0
Dabei ist der Lastwiderstand vorläufig zu Unendlich gesetzt worden. Da er direkt parallel zu RC liegt,
kann man später diese Parallelschaltung einsetzen.
Der Basisstrom wird in die obige Gleichung eingesetzt:
ue + iC * (R C + rCE ) + ß * rCE *
ue
=0
rBE
Umstellen ergibt
 ß * rCE 
 = −iC * (R C + rCE )
ue * 1 +
rBE 

Nun läßt sich der Kollektorstrom ic bestimmen:
i C = −u e *
rBE + ß * rCE
rBE * (R C + rCE )
und gelangen dann mit ua =-ic*RC zu dem Ergebnis
ua = ue *
rBE + ß * rCE
* RC
rBE * (R C + rCE )
227
Die Spannungsverstärkung der Basisschaltung ist dann gleich
v uB =
ua
r + ß * rCE
= BE
* RC
ue rBE * (R C + rCE )
Da rBE wesentlich kleiner ist als ß*rCE, lautet das Ergebnis
v uB =
ß * rCE
ß
* RC =
* rCE II R C
rBE * (R C + rCE )
rBE
Bezieht man des Lastwiderstand RL in die Gleichung mit ein, so lautet das Ergebnis
v uB =
ß * rCE
ß
* (R C II R L ) =
* rCE II R C II R L
rBE * (R C + rCE )
rBE
Die Spannungsverstärkung der Basisschaltung ist somit gleich der Spannungsverstärkung der nicht
gegengekoppelten Emitterschaltung, allerdings mit positivem Vorzeichen.
Nun zur Stromverstärkung. Wir vernachlässigen den Strom durch den Emitterwiderstand und definieren
rBE + ß * rCE
i
rBE * (R C + rCE )
v iB = C =
r + ß * rCE
u
iE
− ue * BE
− e
rBE * (R C + rCE ) rBE
− ue *
Dabei wurde der bereits weiter oben ermittelte Ausdruck für iC eingesetzt, iB ist gleich –uBE/rBE.
rBE + ß * rCE
rBE * (R C + rCE )
v iB =
rBE + ß * rCE
1
+
rBE * (R C + rCE ) rBE
Dies ergibt
v iB =
v iB =
rBE
rBE + ß * rCE
+ ß * rCE + R C + rCE
rBE + ß * rCE
(ß + 1) * rCE + R C + rBE
228
Verglichen mit ß*rCE ist rBE verschwindend klein, so daß wir schreiben können
v iB =
ß * rCE
=
(ß + 1) * rCE + R C
ß
(ß + 1) + R C
rCE
Wenn der Kollektorwiderstand (oder die Parallelschaltung RC und RL) klein gegenüber rCE ist, so
kommen wir auf den Ausdruck
v iB =
ß
ß +1
der in der Lehrbüchern allgemein angegeben wird.
Nun zum Eingangswiderstand der Basisschaltung. Wir definieren
reB =
ue
ue
=
iE
− iB − i C
Dabei können wir bei den Strömen auf weiter oben entwickelte Ausdrücke zurückgreifen.
reB =
reB =
ue
ue
r + ß * rCE
+ u e * BE
rBE
rBE * (R C + rCE )
rBE * (R C + rCE )
1
=
r + ß * rCE
1
R C + rCE + rBE + ß * rCE
+ BE
rBE rBE * (R C + rCE )
reB =
rBE * (R C + rCE )
r
≈ BE
(ß + 1) * rCE + R C + rBE ß
Der Eingangswiderstand der Basisschaltung ist sehr klein im Bereich weniger Ohm.
Hat man in der Emitterzuleitung keine Hochfrequenzdrossel, so muß man für den resultierenden
Eingangswiderstand noch den Emitterwiderstand mit berücksichtigen:
*
reB
≈
rBE
II R E
ß
Manchmal (im Schaltbild nicht gezeigt) liegt die Signalquelle in Reihe mit Emitterwiderstand und dem
Emitteranschluß. Dann gilt für den Eingangswiderstand der Basisschaltung
*
reB
≈
rBE
+ RE
ß
229
Der Ausgangswiderstand ist sehr groß, wie wir gleich sehen werden. Zur Berechnung des Ausgangswiderstandes können wir wiederum wie bisher eine Ersatzquelle einführen. Wir wollen nun jedoch
einmal ein anderes Verfahren erproben (Bild 8.26)
ß*rCE * iB
1
C iC
~
iE E
rCE
iB
uE
RP
2
rBE
~
B
1'
uL
2'
Bild 8.26: Schaltung zur Bestimmung des Ausgangswiderstandes der Basisschaltung
Die Signalquelle uG wird kurzgeschlossen. Es bleibt nur ihr Innenwiderstand RG übrig. Im Schaltbild ist
statt dessen der Widerstand RP eingezeichnet. Es gilt
Ohne Drossel : R P = R G II RE
Mit Drossel : RP = R G
Eine Spannungsquelle uL wird an den Ausgang der Schaltung angeschlossen. Aufgrund dieser Spannung fließt ein Kollektorstrom iC. Der Ausgangswiderstand des Transistors ist dann gleich
raT =
uL
iC
Eine Masche ergibt:
− uL + iC * rCE − ß * iB * rCE + iC * R = 0
mit
R = R P II rBE
Der Strom iC fließt in die Parallelschaltung aus RP und rBE hinein. Für den Basisstrom iB ergibt sich
dann nach der Stromteilerregel:
iB = −iC *
RP
R P + rBE
Das Minuszeichen rührt daher, daß die Pfeilrichtungen von Kollektor- und Emitterstrom entgegengesetzt sind. Eingesetzt in die Maschengleichung erhalten wir

RP 
 * rCE + iC * R = 0
− uL + iC * rCE − ß *  − iC *
R
+
r
P
BE 

und lösen auf:
uL = iC * rCE + ß * iC *
RP
* rCE + iC * R
R P + rBE
230
Nun ersetzen wir R durch die Parallelschaltung von RP und rBE.
uL = iC * rCE + ß * iC *
ß * R P * rCE + R P * rBE + rCE * (R P + rBE )
R P + rBE
uL = iC *
uL = iC *
RP
R *r
* rCE + iC * P BE
R P + rBE
R P + rBE
ß * R P * rCE + R P * rBE + rCE * R P + rCE * rBE
RP + rBE
uL = iC *
(ß + 1) * RP * rCE + RP * rBE + rCE * rBE
R P + rBE
Für den Kollektorstrom iC gilt dann
iC = uL *
R P + rBE
(ß + 1) * RP * rCE + RP * rBE + rCE * rBE
Somit lautet das Ergebnis für den Ausgangswiderstand des Transistors
raT =
uL
1 (ß + 1) * R P * rCE + R P * rBE + rCE * rBE
= uL *
*
iC
uL
R P + rBE
raT =
(ß + 1) * RP * rCE + RP * rBE + rCE * rBE
RP + rBE
Wenn der Widerstand RP klein ist, so gilt angenähert
raT ≈ rCE
Der Widerstand RC wurde weggelassen. Er liegt jedoch parallel zur Spannungsquelle und damit
parallel zu raT, so daß wir bezüglich des Klemmenpaares 2-2‘ schreiben können
raB = raT II R C
Das typische Einsatzgebiet der Basisschaltung sind Hochfrequenzschaltungen. Man setzt sie zur
Impedanztransformation von niederohmig auf hochohmig ein sowie als Verstärker. Der niederohmige
Eingangswiderstand wird dazu ausgenutzt, die Basisschaltung an die Ausgangsimpedanz von Leitungen anzupassen. Selbst wenn als Signalquelle keine Leitung benutzt wird, kann man mit Hilfe von
Übertragern jeden Quellenwiderstand an die niedrige Eingangsimpedanz der Basisschaltung anpassen. In diesem Zusammenhang darf man nicht vergessen, daß im Hochfrequenzbereich Übertrager nur aus wenigen Windungen Drahtes bestehen, ganz anders als im Niederfrequenzbereich. Dort
sind Übertrager schon lange verpönt, da sie sperrig, schwer und außerdem nichtlinear sind. Der große
Ausgangswiderstand eignet sich ideal, um Parallelschwingkreise als Last einzusetzen.
231
Bezeichnen wir die Impedanz eines Schwingkreises mit Z, so gilt für den resultierenden Ausgangswiderstand der Basissschaltung (raT zu rCE angenommen)
*
raB
= rCE II Z
Einen Parallelschwingkreis kann man sich vorstellen als die Parallelschaltung eines Kondensators,
einer Spule und eines Widerstandes RV. Dieser Widerstand modelliert die Verluste im Schwingkreis.
Im Resonanzfall heben sich die Impedanzen von Spule und Kondensator auf, so daß nur der Verlustwiderstand RV übrig bleibt.
Wir finden daher bei Resonanz:
*
raB
= rCE II R V
und die Spannungsverstärkung
v uB =
ß
* rCE II R V
rBE
Hat der Schwingkreis eine hohe Güte, so ist RV groß und damit auch die Spannungsverstärkung. Die
Schwingkreisgüte beträgt
Q=
rCE II R V
ωL
Für die Bandbreite B des Schwingkreises (Abfall der Verstärkung auf das 0.707-fache des Maximalwertes bei Resonanz) gilt der Ausdruck
B=
fres
ωL
= fres *
Q
rCE IIR V
Der Schwingkreis ist damit umso schmalbandiger und selektiver, je größer der Ausgangswiderstand
des Transistors ist. Betrachtet man reale Schaltungen, so findet man häufig, daß die Bandbreite durch
einen zusätzlichen Kollektorwiderstand RC parallel zum Schwingkreis bewußt vergrößert wurde.
Analoge Überlegungen gelten für Anwendungsfälle, bei denen der Schwingkreis durch Leitungsstücke
ersetzt wird.
Die Basisschaltung hat noch zwei weitere Vorteile. Die Miller-Kapazität (s. Grundlagenkapitel über
Operationsverstärker) ist die parasitäre Kapazität zwischen Kollektor und Basis. Diese Kapazität liegt
nun basisseitig an Masse. Dadurch wird eine ungewollte Rückkopplung zwischen Eingangskreis und
Ausgangskreis vermieden, die Basisschaltung ist stabiler, was unerwünschte Schwingneigung angeht.
Weiterhin erhöht sich die Grenzfrequenz des Transistors um den Faktor ß. Es gilt:
f grenzBasis schaltung ≈ ß * f grenzEmitt erschaltun g
Wir können daher einen Transistor in der Basisschaltung bei weit höheren Frequenzen einsetzen, als
dies bei der Emitterschaltung der Fall wäre.
232
Ein Anwendungsbeispiel für die Basisschaltung ist in Bild 8.27 dargestellt.
+12V
HF-Drossel
Lπ
Antenne
CK
uOsz
~
Cπ1
ue
Cπ2
ua
Bild 8.27: Senderendstufe im C-Betrieb
Der Transistor hat keine Bauelemente zur Arbeitspunkteinstellung. Die Betriebsspannung gelangt über
eine Hochfrequenzdrossel an den Kollektor des Transistors. Ohne Aussteuerung ist der Kollektorstrom
gleich Null. Der Oszillator liefert eine Wechselspannung, welche über den Übertrager an den Emitter
des Sendetransistors gelangt. Dort lösen die positiven Halbwellen der Wechselspannung ue einen
impulsförmigen Kollektorstrom aus, die negativen Halbwellen bewirken nichts. Dieser Kollektorstrom
enthält Anteile der Grundwelle (Oszillatorfrequenz) sowie Oberwellen. Die hochfrequenten Anteile
gelangen über den Koppelkondensator CK an das π-Filter. Dieses Filter selektiert die gewünschte
Frequenz aus und leitet sie an die Antenne weiter. Der Vorteil dieser nichtlinearen Schaltung ist, daß
man das π-Filter auch auf eine Oberwellenfrequenz abstimmen kann.
Die Ergebnisse der Basisschaltung lassen sich auf die Gateschaltung übertragen. Wir lassen ß und
rBE derart gegen unendlich gehen, daß ß/rBE=S konstant bleibt und ersetzen RC durch RD usw.
v uG =
S * rDS + 1
* RD
R D + rDs
Da kein Basisstrom fließt, ist im Unterschied zur Basisschaltung die Stromverstärkung gleich 1:
v iG = 1
Der Eingangswiderstand der Gateschaltung ist gleich
reG =
R D + rDS
1
≈
S * rDs + 1 S
für großes rDS
und der Ausgangswiderstand
raT = R P + rDS * (1 + S * R P )
mit RP gleich RG mit Drossel und RP gleich RG parallel zu RS ohne Hochfrequenzdrossel
Der resultierende Eingangswiderstand bezüglich des Klemmenpaares 2-2‘ ist dann
raG = raT II RD
233
Ein Beispiel für die Gateschaltung zeigt Bild 8.28. Es handelt sich dabei um einen Verstärker für
200MHz.
120p
BF348
3...15p
Drossel
0.5 µH
3...15p
Eingang
60Ω
3...15p
4.7n
47
47k
AVR
4.7n
Ausgang
60Ω
+15V
Bild 8.28: 200MHz-Verstärker in Gateschaltung
Die Schwingkreise am Eingang und am Ausgang dienen der Selektion des Eingangssignals. Durch die
Anzapfungen der Spulen wird die Eingangs- und Ausgangsimpedanz der Schaltung auf 60 Ohm eingestellt. Der Transistor BF348 arbeitet in Gateschaltung. Dem Gate wird eine variable negative Spannung zugeführt. Diese negative Spannung wird aus dem Demodulator gewonnen und stellt die
Verstärkung des JFET ein. Eine hohe negative Spannung bedeutet geringe Steilheit und damit geringe
Verstärkung. Ist die Regelspannung in der Nähe von 0, so sind Steilheit und Verstärkung groß.
8.4 Der Feldeffekttransistor als steuerbarer Widerstand
Bei kleinen Werten der Drain-Source-Spannung (Anlaufbereich, Ohmscher Bereich, Bereich I des
Bildes 7.2) wird die Steigung der Ausgangskennlinien von FETs und damit ihr Ausgangswiderstand
RDS sehr stark von der Gate-Source-Spannung bestimmt. In diesem Bereich verhält sich ein FET wie
ein einstellbarer Widerstand. Der Wert dieses Widerstandes ist gleich dem Kehrwert der Steigung im
Abschnürbereich, also
R DS =
UDS
UP2
=
ID
IDSS * (2 * (UGS − UP ) − UDS ) * (1 + λ * UDS )
Man sieht, daß die Kennlinie nichtlinear ist. Für kleines UDS kann man schreiben
R DS UDS ≈0 =
UP2
2IDSS * (UGS − UP )
Bei UGS = UP wird der Kanal abgeschnürt, der Widerstand RDS wird unendlich groß. Bei UGS = 0
erhält man den kleinsten Widerstandswert, er beträgt
R DS min =
UP
2 IDSS
Den Wert RDSmin bezeichnet man auch als RDSein oder RDSON. Bild 8.29 zeigt den Verlauf des
Widerstandes als Funktion von UGS sowie den prinzipiellen Einsatz eines FETs als Spannungsteiler.
234
Widerstand eines N-Kanal MOSFETs im ohmschen Bereich
50K
40K
RG
RV
30K
uL
~
uein
uaus
UGS
20K
10K
0
-2.0V
1/dID( M1 )
-1.5V
-1.0V
-0.5V
0V
VGS
Bild 8.29: Widerstandsverlauf von RDS und Spannungsteiler mit FET
Es ist wichtig anzumerken, daß der Wechselspannung keine Gleichspannung zur Arbeitspunkteinstellung überlagert sein muß, der FET arbeitet als Widerstand und nicht als verstärkendes Element. Der
Widerstand des Transistors hängt von der steuernden Spannung UGS ab. Die Ausgangsspannung
uaus hat den Wert
uaus = uein *
R DS
R DS + R V
Diese Schaltung arbeitet sowohl bei positiven als auch negativen Eingangsspannungen. Das Kennlinienfeld für den Anlaufbereich zeigt Bild 8.30. Es ist als vergrößerter Ausschnitt von Bild 7.4 zu
betrachten. Dabei darf die Drain-Source-Spannung auch geringe negative Werte annehmen.
Die Kennlinien ID = f(UDS) verlaufen nur in der Nähe des Nullpunktes linear. Mit positiver Spannung
(bei N-Kanal-FETs) wird der Kanal verengt und die Steigung der Kennlinie flacher. Bei negativer
Spannung UDS ist es genau umgekehrt: Der Querschnitt des Kanals erweitert sich und die Steigung
der Kennlinie wird größer. Übersteigt die negative Drain-Source-Spannung 0.6 Volt, so leitet der pnÜbergang beim JFET und der Übergang Drain-Substrat beim MOSFET. Beim MOSFET kann man
dies durch eine negative Substratvorspannung verhindern. Das Bild zeigt zwei Fälle. Im ersten Fall
wurde keine Gegenkopplung eingebaut und die Kennlinien verlaufen gekrümmt. Im zweiten Fall wurde
die halbe Drain-Source-Spannung UDS hochohmig dem Gate als Gegenkopplungsspannung zugeführt und die Kennlinien verlaufen fast linear.
235
ID/UDS-Kennlinie eines N-Kanal MOSFETs im ohmschen Bereich
800uA
UGS = 0V
mit Gegenkopplung
UGS = -1V
400uA
UGS = 0V
UGS = -1V
ohne Gegenkopplung
0A
-400uA
-800uA
-1.5V
-1.0V
ID (M1)
-0.5V
ID (M2)
0.0V
0.5V
1.0V
1.5V
VDS
Bild 8.30: Ausgangskennlinien eines N-Kanal-MOSFETs (Verarmungstyp) im Anlaufbereich
Die nichtlinearen Verzerrungen hängen von der Aussteuerspannung UDS ab: Nimmt der Widerstand
des Transistors zu, so steigt auch die Spannung UDS und damit die Verzerrungen. Die Linearität des
Kanalwiderstandes läßt sich durch ein Rückkopplung zwischen Drain und Gate wesentlich verbessern
(Bild 8.31a). Die Widerstände R1 und R2 sollen den gleichen Wert haben. Damit ist die Wechselspannung zwischen Drain und Gate gleich der Spannung zwischen Gate und Source; der Transistor
wird symmetrisch betrieben. Der Zusammenhang zwischen ID, UDS und UGS lautet
ID =
IDSS
* UDS * (2 * (UGS − UP ) − UDS ) * (1 + λ * UDS )
UP2
ID =
2 IDSS
U 

* UDS *  UGS − UP − DS  * (1 + λ * UDS )
2
2 
UP

beziehungsweise
Den Term (1+λ*UDS) kann man für kleines UDS vernachlässigen, so daß gilt
ID ≈
2 IDSS
U 

* UDS *  UGS − UP − DS 
2
2 
UP

236
Führt man dem Gate nun gemäß Bild 8.31a eine Steuergleichspannung USteu sowie die Wechselspannung UDS/2 zu, so erhält man für den Drainstrom mit UGS=USteu+UDS/2
ID ≈
2 IDSS
U
U 

* UDS *  USteu + DS − UP − DS 
2
2
2 
UP

ID ≈
2 IDSS
* UDS * (USteu − UP )
UP2
C1
R1
1M
G
USteu
=
100k
D
R2
RV
S
C2
uein
R3
UGS
R1
uaus
1M
R2
10M
USteu
a)
b)
Bild 8.31: Prinzipschaltung zur Linearisierung des Kanalwiderstandes RDS (a) und Realisierung (b)
Der Widerstand der Drain-Source-Strecke ist dann
R DS =
UDS
UDS * UP2
UP2
=
=
ID
2 IDSS * UDS * (USteu − UP ) 2 IDSS * (USteu − UP )
und damit keine Funktion von UDS mehr. Die Spannungsteilerwiderstände R1 und R2 müssen erheblich größer sein als der Vorwiderstand RV, da sie dem Kanalwiderstand parallel geschaltet sind und
den Dynamikbereich der Regelung verringern. Selbst wenn der Widerstand des Transistors unendlich
groß wird, findet noch eine Spannungsteilung statt:
uaus = uein *
R1 + R 2
R1 + R 2 + R V
Im Bild 8.31b sind R1 und R2 die Spannungsteilerwiderstände. Jeder dieser Widerstände hat den
zehnfachen Wert des Vorwiderstandes. Die Steuerspannung USteu (die bei einem N-Kanal JFET<0
sein muß) wird über den sehr hochohmigen Widerstand R3 zugeführt. Der Kondensator C1 hält eventuelle Gleichspannungsanteile des Signals vom Transistor fern, C2 trennt den Ausgangskreis galvanisch von der Steuerspannung. Will man an den Ausgang der obigen Schaltung einen Verstärker
anschließen, so muß dessen Eingangswiderstand sehr groß sein, um den Regelbereich des Dämpfungsgliedes nicht zu beeinträchtigen. In der Praxis wählt man R1 gleich R2, da sich dann die DrainSource-Spannung gleichmäßig zwischen Drain und Gate einerseits und zwischen Gate und Source
andererseits aufteilt.
Eine konkrete Anwendung des FETs als steuerbarer Widerstand zeigt Bild 8.32. Es zeigt einen WienBrücken-Oszillator mit automatischer Amplitudenbegrenzung durch einen JFET. Die frequenzbestimmenden Bauelemente sind die beiden
Widerstände R und die beiden Kondensatoren C.
237
Die ohmsche Rückkopplung besteht aus den Widerständen R1 und der Reihenschaltung des Widerstandes R2 und dem Drain-Source-Widerstand des JFETs, der im ohmschen Bereich betrieben wird.
Diese Rückkopplung bestimmt die Verstärkung des Operationsverstärkers, damit auch den möglichen
Übersteuerungsgrad und somit auch den Klirrfaktor. Unmittelbar nach dem Einschalten der Versorgungsspannung ist C2 noch nicht aufgeladen, der Widerstand des JFETs ist gleich RDSON, dem
Widerstand für UGS=0. Entsprechend hoch ist die Verstärkung des Operationsverstärkers. Die
Schaltung beginnt zu schwingen, die Schwingung ist jedoch wegen der zu hohen Verstärkung verzerrt.
Die Ausgangsspannung wird in der Spannungsverdopplerschaltung aus D1 und D2 gleichgerichtet. Die
Aufladezeitkonstante wird durch R5 und C1 bestimmt. Die gleichgerichtete Spannung ist negativ, der
Widerstand des JFET steigt somit an und die Verstärkung sinkt ab. Der Kondensator C2 entlädt sich
mit der Zeitkonstanten R6C2.
C
R
+
OUT
_
R1
C
R
R2
C3
R3
R5
R4
R6
D1
C2
C1
ua
D2
Bild 8.32: Wien-Brücken-Oszillator mit Amplitudenbegrenzung
Im eingeschwungenen Zustand ist die Gate-Source-Spannung so groß, daß die Ausgangsspannung
(fast) rein sinusförmig ist. Dies läßt sich mit dem Regler R3 einstellen. Der Klirrfaktor der Ausgangsspannung hängt sehr stark von der Linearität des Drain-Source-Widerstandes ab. Die Linearität läßt
sich stark verbessern, wenn die Hälfte der Drain-Source-Wechselspannung zum Gatepotential hinzuaddiert wird. Dazu dienen die Widerstände R3 und R4. Der Kondensator C3 verhindert, daß die GateSource-Spannung zum invertierenden Eingang des Operationsverstärkers gelangt und eine Nullpunktverschiebung der Ausgangsspannung hervorruft. Durch den Abgleich von R3 lassen sich Klirrfaktoren
von unter 0.1 Prozent erreichen.
238
Netzlisten
Widerstand eines N-Kanal MOSFETs im ohmschen Bereich
VGS G 0 0
VDS D 0 2
VBULK BULK 0 -5
M1 D G 0 BULK MFET; Transistor ohne Rueckkopplung
.MODEL MFET NMOS VTO=-2 W=100U L=10U; UP=-2V
.DC LIN VGS 0 -1.9 -0.02
.PROBE
.END
ID/UDS-Kennlinie eines N-Kanal MOSFETs im ohmschen Bereich
VGS G 0 0
VDS D 0 0
VBULK BULK 0 -5
M1 D G 0 BULK MFET; Transistor ohne Rueckkopplung
M2 D X 0 BULK MFET; Transistor mit Rueckkopplung
R1 D X 1MEG
R2 X G 1MEG
.MODEL MFET NMOS VTO=-2 W=100U L=10U; UP=-2V
.DC LIN VDS -1.45 1.45 0.05
.STEP VGS LIST 0 -1
.PROBE
.END
239
9. Leistungsendstufen
9.1 Grundlagen
Leistungsendstufen liefern die notwendige Ausgangsleistung, um Wandler zu treiben. Dies kann beispielsweise ein Lautsprecher sein, aber auch viele andere Wandlertypen wie Bildröhren oder Schrittmotoren sind möglich. Wir wollen uns –ohne Einschränkung der Allgemeinheit– mit Audioendstufen
beschäftigen. Dabei muß man sich im klaren darüber sein, daß nur ein geringer Anteil der elektrischen
Leistung, die in einen Lautsprecher hineingesandt wird, auch als Schalleistung wieder herauskommt.
Eine weitere Eigenart bei Audioendstufen liegt in der menschlichen Wahrnehmung der Lautstärke:
Das menschliche Lautstärkeempfinden ist logarithmisch: Einer Verdopplung der wahrgenommenen
Lautstärke entspricht etwa einer Verzehnfachung der abgegebenen Leistung und damit einem Zehnfachen der Verstärkerleistung.
Doch zurück zur Technik. In den bisherigen Kapiteln wurde stillschweigend angenommen, daß wir es
mit Kleinsignaltransistoren zu tun haben. Bei einer Kollektor-Emitter-Spannung von 10 bis 20 Volt und
einem Kollektorstrom von wenigen Milliampere liegt die Verlustleistung der Transistoren im Bereich
von etwa 100 bis 200 Milliwatt. Dabei werden diese Transistoren nicht überlastet. Bei Leistungstransistoren hingegen besteht durchaus die Gefahr der Überlastung. Deshalb sollen kurz die Lastgrenzen
bei Leistungstransistoren besprochen werden. Dabei wird angenommen, daß die Eingangsleistung
(Basis-Emitter-Spannung * Basisstrom) vernachlässigt werden kann. Bild 9.1 zeigt die Grenzen im
Ausgangskennlinienfeld an.
IC
ICmax
UCEsat
UCE*ICE=Pmax
Zweiter Durchbruch
Erster Durchbruch
UCEmax
UCE
Bild 9.1: Belastungsgrenzen
Die Belastungsgrenzen sind – von links nach rechts –
1.
IC<ICmax: Der maximale Kollektorstrom darf den im Datenblatt vorgegebenen Wert nicht
überschreiten
240
2.
P<Pmax: Das Produkt aus Kollektor-Emitter-Spannung und Kollektorstrom entspricht der aufgenommenen Leistung. Dieser Wert darf die maximale Verlustleistung, die der Hersteller angibt, nicht überschreiten. Die maximale Verlustleistung ist eine Funktion der Sperrschichttemperatur und hängt damit von der Umgebungstemperatur einerseits und Kühlungsmaßnahmen andererseits ab.
3.
Zweiter Durchbruch: Im Bereich hoher Kollektor-Emitter-Spannungen kann es bei zu großen
Strömen dazu kommen, daß die Stromverteilung im Transistor ungleichmäßig wird. Es bilden
sich „heiße Röhren“, die den Löwenanteil des Stromes übernehmen. Je wärmer ein solches
Gebiet wird, um so besser leitet es den Strom. Dadurch kann lokal die maximal erlaubte
Stromdichte überschritten werden und der Transistor fällt aus. Diesen Effekt findet man bei
Bipolartransistoren, da diese einen negativen Temperaturkoeffizienten der Leitfähigkeit haben.
Den Bereich des zweiten Durchbruches gibt es bei FETs nicht. Der negative Temperaturkoeffizient ist übrigens auch der Grund dafür, daß man Bipolartransistoren nicht einfach
parallel schalten darf: Der wärmste Transistor übernimmt fast den gesamten Strom und fällt
aus. Bei der Parallelschaltung von Leitungstransistoren muß jeder Transistor einen Emitterwiderstand aufweisen, der diesen Effekt verhindert.
4.
Erster Durchbruch, UCE>UCEmax: Es kommt zur Stoßionisation, eine Sperrschicht wird zerstört. Im rechten Teil des Bildes 9.1 finden wir einen Transistor, der ein solches Schicksal
erlitten hat. Man erkennt deutlich zwei Durchbrüche an der Basis-Emitter-Diode.
Im Bild 9.2 ist noch einmal die Lage der Arbeitspunkte des Transistors für die einzelnen Betriebsarten
(A-, AB- und B-Betrieb) dargestellt. Die Gerade ist die Arbeitsgerade.
IC
IC
A-Betrieb
A-Betrieb
AB-Betrieb
AB-Betrieb
B-Betrieb
UB
B-Betrieb
UBE
UCE
Bild 9.2: Lage der Arbeitspunkte bei den verschiedenen Betriebsarten
Im A-Betrieb finden wir Verhältnisse vor, die uns bereits aus dem Kapitel 7 bekannt sind: Der
Arbeitspunkt liegt auf der Arbeitsgeraden, der Kollektorstrom ist größer oder gleich der Amplitude des
Kollektorwechselstromes. Im B-Betrieb liegt der Arbeitspunkt ebenfalls auf der Arbeitsgeraden (wo
sonst?), der Kollektorruhestrom ist jedoch gleich Null. Damit ist die Kollektor-Emitter-Spannung im
Arbeitspunkt gleich der Betriebsspannung UB. Der AB-Betrieb ist ein Zwischending zwischen A- und BBetrieb.
Neu im Bild 9.2 ist die Ellipse um den Arbeitspunkt herum. Der Schnittpunkt der beiden Hauptachsen
liegt auf dem Arbeitspunkt, die Neigung der Ellipse ist jedoch beliebig. Diese „Lastellipse“ finden wir
immer dann, wenn als Last kein ohmscher Widerstand angeschlossen ist, sondern eine Impedanz Z.
Dies kann die Lautsprecherspule mit ihrem Gleichstromwiderstand sein, dies kann aber auch eine
kapazitive Belastung nach Masse sein. Der Kollektor- bzw. Drainwiderstand liegt zwar nach +UB hin,
241
wechselspannungsmäßig sind RC und CLast jedoch parallel geschaltet.
Es gilt
Z = Z( j ω) = Z * e jϕ
mit
ϕ=
Eine Bedingung stellen wir:
Im(Z )
Re(Z )
Z(0 ) = R
Es muß ja der Kollektor/Draingleichstrom fließen.
Steuern wir nun den Transistor mit einem sinusförmigen Signal aus, so sind Kollektor-Emitter-Spannung und Kollektorstrom Gleichgrößen, die von sinusförmigen Wechselgrößen überlagert werden:
IC (t ) = ICA + IC max * cos(ωt )
UCE (t ) = UCEA + UCE max * cos(ωt + ϕ )
IC(t) bewegt sich in y-Richtung, UCE(t) in x-Richtung (Bild 9.3)
ICmax
ICmax
*
α
*
UCEmax
UCEmax
Bild 9.3: Lastellipse
Bei sinusförmiger Aussteuerung in Anwesenheit einer Impedanz bewegen sich der Kollektorstrom/die
Kollektor-Emitter-Spannung sinusförmig um den Arbeitspunkt herum.
Die Berechnung ist mathematisch etwas aufwendig und soll hier nicht ausgeführt werden. Für den
Neigungswinkel α gilt:


 2 * Z * cos ϕ 
1


R
α = * arctan 
2

2
 1 −  Z  


R  

Man beachte, daß Z gleich IZI ist.
242
Auf einer der Hauptachsen der Ellipse finden wir die Amplitude ICmax*, auf der anderen die Amplitude
UCEmax*. Es gilt der Zusammenhang
*
 UCE max   cos α − sin α   UCE max 


 = 
 *  *


 IC max   sin α cos α   IC max 
Wichtig ist das Achsenverhältnis
2
A=
2
2
2

Z
Z 
Z

1+
+4*
* cos 2 ϕ
+ 1−


R
R
R


2
2
2
2

Z
Z
Z 
1+
− 1 −
+ 4*
* cos 2 ϕ


R
R 
R

Hat die Impedanz Z eine induktive Komponente, so wird die Ellipse im mathematisch negativen Sinn
(im Uhrzeigersinn) durchlaufen, bei einer kapazitiven Komponente im mathematisch positiven Sinn
(gegen den Uhrzeigersinn).
9.2 Endstufenschaltungen
Man unterscheidet bei Leistungsendstufen zwischen zwei Betriebsarten, dem Eintaktbetrieb und dem
Gegentaktbetrieb. Der Eintaktbetrieb wird wegen seines geringen Wirkungsgrades nur noch relativ
selten angewandt. Der Gegentaktbetrieb ist bei Endstufen die Regel. Sein hoher Wirkungsgrad erlaubt
es, selbst Endstufen mit hohen Ausgangsleistungen wirtschaftlich günstig aufzubauen. Eine
Klassifikation der Leistungsendstufen nach Schaltungsstruktur zeigt Bild 9.4:
Leistungsendstufen
Gegentaktendstufen
Eintaktendstufen
Emitterschaltung
Kollektorschaltung
Parallelspeisung
beider Transistoren
(Transformatorkopplung)
Transistoren vom
gleichen Leitungstyp
D-Verstärker
Bild 9.4: Klassifikation der Leistungsendstufen nach ihrer Schaltungsstruktur
243
Serienspeisung
beider Transistoren
Komplementärendstufe
Wichtige Kenngrößen von Leistungsendstufen sind
- Ausgangsleistung
- Wirkungsgrad
- Klirrfaktor
Es lassen sich Leistungen von einigen hundert Watt, Klirrfaktoren von etwa 0.05 Prozent und Wirkungsgrade von 60 - 70 Prozent erreichen. Als aktive Bauelemente in Endstufen werden bipolare
Transistoren, Leistungs-MOSFETs und für Spezialanwendungen gelegentlich noch Röhren verwendet.
Bevor wir uns den einzelnen Schaltungkonzepten zuwenden, noch kurz die Definition des Stromflußwinkel (Bild 9.5).
f(t)
Θ
ωt
Bild 9.5: Stromflußwinkel
Den Stromflußwinkel mißt man pro Halbwelle von der Spitze des sinusförmigen Signals. Es gilt
A-Betrieb:
Θ=π (180°)
AB-Betrieb:
π/2<Θ<π (90°-180°)
B-Betrieb:
Θ=π/2 (90°)
C-Betrieb:
Θ<π/2 (<90°)
9.2.1 Eintaktendstufen
Diese Endstufen sind mit einem Transistor aufgebaut. Der Begriff "Eintakt" bedeutet hier, daß der
Transistor sowohl die positive als auch die negative Halbwelle der Eingangsspannung verarbeiten
kann. Der Transistor wird mit hohem Ruhestrom betrieben, da er sowohl die positive als auch die
negative Halbwelle der Ausgangsspannung und des Ausgangsstromes liefern muß. Er arbeitet somit
im A-Betrieb. Für jede Halbwelle der Eingangsspannung beträgt der Stromflußwinkel des Kollektorstromes 180°. Im Gegentaktbetrieb hingegen ist ein Endstufentransistor für die positive Halbwelle und
ein anderer Endstufentransistor für die negative Halbwelle verantwortlich: Der Stromflußwinkel ist 90°.
Eintaktendstufen unterscheiden sich im Prinzip nur durch die große Signalamplitude von den entsprechenden Kleinsignalverstärkern.
244
Bild 9.6 zeigt eine Eintakt-A-Endstufe in Emitterschaltung.
+UB
R1
+UB
R1 w1
RC
w2
UCE
RL
UCEmax
ü=w2/w1
ue
RL
ua
ue
UCEmin
t
Bild 9.6: Eintakt-A-Endstufe in Emitterschaltung
Die Emitterschaltung ist die einzige der vorgestellten Endstufenschaltungen mit einem Verstärkungsfaktor größer als 1. Dafür sind die Verzerrungen der Ausgangsspannung bei dieser Schaltung recht
groß. Man setzt sie daher bevorzugt bei solchen Anwendungen ein, bei denen man mit möglichst
wenig Aufwand eine bestimmte Ausgangsspannung erzielen will, ohne daß man auf Verzerrungen
große Rücksicht nehmen muß; beispielsweise bei Senderendstufen kleiner Leistung. Die auftretenden
Verzerrungen lassen sich dort durch Filter beseitigen. Der Lastwiderstand RL besteht dann aus einem
Filter und der Sendeantenne.
Wir finden drei Schaltungsvarianten im Bild 9.6. Bei der ersten, links dargestellt, finden wir nur den
Kollektorwiderstand RC als Last vor. Der über einen Kondensator angekoppelte Widerstand ist nicht
vorhanden. Die zweite Variante ist dann die mit RC und RL. Die dritte Variante ist die, bei der der
Lastwiderstand RL über einen idealen Übertrager mit dem Übersetzungsverhältnis ü=w2/w1 angekoppelt ist.
Die Abweichung der Kurvenform der Ausgangsspannung von der Eingangsspannung sind recht groß,
die Abweichung des Ausgangsstromes vom Eingangsstrom hingegen nicht, da nach wie vor gilt
IC (t ) = B * IB (t )
Die Verzerrungen rühren daher, daß der Basisstrom bei einer sinusförmigen Eingangsspannung, die
der Basis-Emitter-Gleichspannung überlagert ist, wegen der nichtlinearen Eingangskennlinie des
Transistors nicht mehr sinusförmig ist. Eine niederohmige Signalquelle wird daher stets eine verzerrte
Ausgangsspannung hervorrufen. („Spannungssteuerung“) Bei einer hochohmigen Signalquelle ist dies
hingegen anders. Die Nichtlinearität der Eingangskennlinie fällt bei einem hohen Innenwiderstand der
Signalquelle nicht mehr ins Gewicht, so daß der Eingangswechselstrom sinusförmig ist und damit auch
der Kollektorwechselstrom. Damit ist der Spannungsabfall am Kollektorwiderstand ebenfalls
sinusförmig. („Stromsteuerung“)
Wir besprechen jetzt nur die erste Variante mit dem Kollektorwiderstand RC.
Die maximale Ausgangsleistung am Ausgang beträgt bei sinusförmiger Aussteuerung
pa =
uCE iC
U
− UCE min IC max − IC min
*
= CE max
*
2
2
2* 2
2* 2
245
Hierbei ist zu beachten, daß es sich bei uCE und iC um die Amplituden handelt, während man zur
Leistungsberechnung den Effektivwert heranzieht. Die maximal möglichen Strom- und Spannungsamplituden treten dann auf, wenn der Arbeitspunkt bei UB/2 liegt. Dann ist die maximal mögliche
Spannungsamplitude ebenfalls UB/2. Dabei ist in diesen einfachen Näherungen die Sättigungsspannung des Transistors vernachlässigt.
Einen Überblick über die Größenordnung der Sättigungsspannung liefert folgende Tabelle:
Typ
BC547
BC141
BD135
BF457
2N3055
Anwendung
Kleinsignaltransistor
Kleinleistungstransistor
Leistungstransistor
Videoendstufen
Leistungstransistor
Pmax/W
0.5
0.8
12.5
10
117
UCEsat/V
0.6
1
0.5
1
1.1
bei IC/A
0.1
1
0.5
0.03
4
Nehmen wir einmal an, daß die Sättigungsspannung bei einem Leistungstransistor in der Größenordnung von etwa 1 Volt liegt, so haben wir im durchgeschalteten Zustand bei einem Kollektorstrom
von 10 Ampere bereits eine Verlustleistung von 10 Watt.
MOSFETs charakterisiert man nicht durch eine Sättigungsspannung, sondern durch ihren ohmschen
Widerstand im durchgeschalteten Zustand.
Der Arbeitspunkt muß so gewählt werden, daß der Strom ICmax/2 (oder sogar etwas mehr) als
Ruhestrom fließt. Dann ist der Gleichstrominnenwiderstand des Transistors im Arbeitspunkt gleich
dem Lastwiderstand RC, es besteht also Leistungsanpassung. Der Ausgangsstrom kann dann bei
Vollaussteuerung zwischen 0 und ICmax schwanken. Fließt im Arbeitspunkt der Ruhestrom ICA, so
erhält man als optimalen Lastwiderstand RCopt:
R Copt ≈
UB
2 ICA
Das Ungefährzeichen deutet an, daß die Sättigungsspannung UCEsat des Transistors bei der Berechnung vernachlässigt wurde.
Für die maximale Ausgangsleistung finden wir dann
p a max =
U *I
UB2
= B CA
8R C
4
Falls am Ausgang eine Last RL* kapazitiv angekoppelt sein sollte, so gilt für den Lastwiderstand im
Wechselstromfall:
R L* = R C II R L
Noch eine Bemerkung zur Kopplung. Im Bild 9.6 liegt der Lastwiderstand direkt in der Kollektorleitung
und wird natürlich auch vom Kollektorgleichstrom durchflossen. Dies würde bei Lautsprechern
bedeuten, daß die Schwingspule sich erwärmt und außerdem ohne Aussteuerung eine mechanische
Vorbelastung erfährt. Deshalb koppelt man Lautsprecher über einen Kondensator an. Analoges gilt für
die weiter unten besprochene Kollektorschaltung mit einem Transistor im A-Betrieb.
Bei den Varianten 2 und 3 im Bild 9.6 ist die Last nicht vom Gleichstrom durchflossen.
246
Bild 9.7 zeigt den Verlauf von Ausgangsspannung und Ausgangsstrom im Kennlinienfeld des Transistors. Das schraffierte Dreieck, dessen Flächeninhalt gleich dem vierten Teil von (UB-UCEsat)*ICA ist,
versinnbildlicht die maximale Ausgangsleistung.
IC
IB = 6mA
IB = 4mA
ICmax
IB = 2mA
ICA
IB = 1mA
pA
UCEsat
UCEA
UCE
UB
Bild 9.7: Ausgangsgrößen der Eintakt-A-Endstufe im Kennlinienfeld
Bei sinusförmigem Verlauf von Ausgangsspannung und Ausgangsstrom ist die von der Endstufe aufgenommene Gleichstromleistung unabhängig von der Aussteuerung, da die Mittelwerte der Signalgrößen gleich Null sind:
T
1
P= = * ∫ UB * (ICA + IC max * sin(ω t )) dt = UB * ICA
T 0
Diese Leistung wird während des Betriebes zu einem Teil im Transistor, zum anderen Teil jedoch in
der Last umgesetzt. Im Ruhebetrieb ohne Aussteuerung ist die Verlustleistung des Transistors
am größten, da dann die halbe Gleichstromleistung in ihm in Wärme umgewandelt wird. Die abgegebene Wechselleistung wird mit einem bestimmten Wirkungsgrad vom Wandler in Nutzenergie (z. B.
Schall) umgewandelt, der Rest der zugeführten Leistung wird wiederum in Wärme verwandelt. Der
Wirkungsgrad der Eintakt-A-Endstufe ist maximal
ηmax =
p a max
= 0.25
P=
Der Wirkungsgrad wächst mit steigender Aussteuerung und erreicht - bei Vernachlässigung der Restspannung UCEsat - bei Vollaussteuerung den Wert von 25 Prozent. Da der Transistor maximal 0.5 P=
aufnimmt, an Nutzleistung jedoch maximal 0.25 P= abgibt, kann er maximal die Hälfte der Ruheleistung als Nutzleistung abgeben. Will man daher eine 10 W-Endstufe aufbauen, so muß der Transistor eine maximal zulässige Verlustleistung von mindestens 20 W aufweisen. Das Netzgerät oder die
Batterie muß dann sogar 40W liefern.
247
Nun noch kurz zu den Varianten 2 und 3 des Bildes 9.6. Koppelt man einen Lastwiderstand RL über
einen Kondensator an, so gilt mit RL=RC (die optimale Lösung)
ηmax =
1
≈ 6.3%
16
Dieser Wirkungsgrad ist sehr schlecht, praktisch indiskutabel. Da kommt die Variante 3 mit ihrem
Übertrager wesentlich besser weg: Wir erhalten einen Wirkungsgrad von maximal 50%. Dazu wählen
wir bei gegebenem RL den Kollektorruhestrom zu
ICA =
UB
ü * RL
2
2
Der Arbeitspunkt des Transistors liegt dann auf der Geraden R=ü *RL. Die Kollektor-Emitter-Spannung
ist nicht mehr gleich UB/2, sondern gleich UB. Man erreicht, wie gesagt, einen Wirkungsgrad von
ηmax = 50%
Wichtig: Bei sinusförmiger Aussteuerung steigt die Kollektor-Emitter-Spannung bei der induktiven
Kopplung auf das Doppelte der Betriebsspannung an, bei Impulsen noch mehr. Diese Tatsache muß
man bei der Auswahl des Transistors beachten, da sonst der erste Durchbruch droht. Das
Nichtbeachten dieser Tatsache hat schon so manchem Transistor das Leben gekostet.
Die übertragergekoppelte Endstufe ist heutzutage nur noch im Hochfrequenzbereich interessant, da
man mit einem Leistungstransistor auskommt, der außerdem noch als Spannungsverstärker fungiert.
Im Niederfrequenzbereich hätten wir Verzerrungen durch das Trafoblech und einen Bandpaßcharakter
zu vergegenwärtigen.
Die Kollektorschaltung (Bild 9.8) ist wesentlich besser als die Emitterschaltung zum Aufbau von
Leistungsendstufen geeignet.
+UB
Ua
Uamax
R1
ue
RL
ua
Uamin
t
Bild 9.8: Kollektorschaltung
Der günstigste Arbeitspunkt liegt wiederum etwa bei UB/2. Dann gilt:
UCEA = UCEsat +
UB − UCEsat UB + UCEsat
=
2
2
248
Für den Ruhestrom im Arbeitspunkt finden wir
IEA =
UB
2RL
Die maximal möglichen Amplituden von Ausgangsspannung und Ausgangsstrom betragen
Ua max =
UB − UCEsat
2
beziehungsweise
IE max ≈ IEA
Bei Leistungsanpassung ist der Innenwiderstand gleich dem Lastwiderstand:
R Lopt =
Ua max UB − UCEsat
=
IE max
2IEA
und somit erhalten wir dann als maximale Ausgangsleistung
p a max
2
(
UB − UCEsat )
=
8R Lopt
Analog zur Emitterschaltung nimmt die Kollektorschaltung eine von der Aussteuerung unabhängige
Gleichstromleistung P= von
P= = UB * IEA
auf und der maximale Wirkungsgrad ist
(UB − UCEsat )
p a max
=
P=
8R Lopt * UB * IEA
2
ηmax =
ηmax =
p a max UB − UCEsat
=
≈ 0.25
P=
4UB
Die im Transistor selbst umgesetzte Verlustleistung ist
T
PV = P= − pRL = P= − ∫ R L * (IEA − IE max * sin(ωt )) dt
2
0
Werten wir das Integral aus, so ergibt sich
(
2
PV = P= − R L * IEA
+ IE2 max
)
Ohne Aussteuerung ist IEmax gleich Null und obiger Ausdruck ergibt Pvmax = 0.5*P=; bei maximaler
Aussteuerung erhält man für die Verlustleistung im Transistor Pv = 0.25*P=. Dies ist die gleiche
Leistungsbilanz wie bei der Emitterschaltung. Eintakt-A-Endstufen nehmen eine hohe Gleichstromleistung aus der Betriebsspannungsquelle auf und ihr Wirkungsgrad beträgt maximal 25 Prozent. Weiterhin wird – wie oben bereits erwähntder Lastwiderstand vom Ruhestrom durch249
flossen, was bei Lautsprechern beispielsweise dazu führt, daß die Membran ein Stück in den Luftspalt
hineingezogen oder aus ihm herausgestoßen wird. Bei Übertragern haben wir eine Vormagnetisierung
des Eisens zu verzeichnen.
Um die Unterschiede zwischen der Kollektorschaltung und der Emitterschaltung zu verdeutlichen,
wurde je eine Simulation vorgenommen (Bild 9.9). Die Ansteuerung war jeweils derart, daß fast die
maximale Ausgangsleistung abgegeben wurde. Der Transistor entspricht in seinen Daten dem
2N3055. Die Aussteuerung ist jeweils sinusförmig. Man erkennt sehr deutlich die starken Verzerrungen
der Emitterschaltung, während die Kollektorschaltung die Ausgangsspannung fast unverzerrt wiedergibt. Eine Fourieranalyse ergab für die Emitterschaltung folgende Werte:
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(KOLLAUS)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
2.119336E-03
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
8.872E+00
1.158E+00
9.746E-01
4.869E-01
2.671E-01
1.000E+00
1.305E-01
1.099E-01
5.488E-02
3.010E-02
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
-1.096E+02
-4.408E+01
2.629E+01
1.121E+02
-1.791E+02
NORMALIZED
PHASE (DEG)
0.000E+00
6.557E+01
1.359E+02
2.217E+02
-6.947E+01
1.830676E+01 PERCENT
Der Verzerrungen (THD) betragen dabei 18.3 Prozent. Dies bedeutet eine sehr starke Verzerrung des
Ausgangssignals. Dabei ist jedoch zu bedenken, daß eine niederohmige Signalquelle verwendet wurde
und somit Spannungssteuerung vorlag.
Bei der Kollektorschaltung sind die Werte erheblich günstiger:
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(EMMAUS)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
2.595066E-03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
8.258E+00
1.601E-02
3.974E-03
1.868E-03
7.963E-04
1.000E+00
1.939E-03
4.813E-04
2.262E-04
9.644E-05
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
3.056E+00
-2.739E+01
-1.077E+01
9.928E+01
-1.712E+02
NORMALIZED
PHASE (DEG)
0.000E+00
-3.045E+01
-1.383E+01
9.622E+01
-1.742E+02
2.013629E-01 PERCENT
Der Anteil der Verzerrungen beträgt lediglich 0.2 Prozent, ein sehr günstiger Wert, wenn man die
Größe der Ausgangsspannung bedenkt.
250
Transistor in Kollektor- und Emitterschaltung; A-Betrieb
10V
Emitterschaltung
5V
Kollektorschaltung
0V
-5V
-10V
99.0ms
V (EMMAUS)
99.2ms
99.4ms
V (KOLLAUS)
99.6ms
99.8ms
100.0ms
Time
Bild 9.9: Ausgangsspannung der Emitter- und der Kollektorschaltung für Vollaussteuerung
9.2.2 Gegentaktendstufen
Bei Gegentaktendstufen übernimmt im einfachsten Fall jeweils ein Transistor die Verarbeitung einer
Halbwelle der Eingangsspannung. Fließt kein Ruhestrom durch die Transistoren, so spricht man vom
B-Betrieb, fließt ein geringer Ruhestrom, so liegt AB-Betrieb vor. Im Unterschied zu den Eintaktendstufen weisen Gegentaktendstufen folgende Vorteile auf:
- sehr große Ausgangsleistung möglich
- hoher Wirkungsgrad
- sehr geringe bis geringe Ruheverlustleistung
Bei der Parallelspeisung beider Transistoren koppelt man die Endstufe mit Übertragern, bei der
Serienspeisung beider Transistoren handelt es sich um sogenannte "eisenlose Endstufen". Die transformatorgekoppelte Endstufe hat heute nur noch in Spezialfällen Bedeutung (Senderendstufen,
Anpassung des Lastwiderstandes an die Verhältnisse in der Schaltung) Bild 9.10 zeigt eine transformatorgekoppelte Endstufe. Die Endstufentransistoren werden über den Treibertransformator gegenphasig angesteuert. Es fließt nur ein geringer Ruhestrom (AB-Betrieb). Somit leitet während einer
Halbwelle nur jeweils ein Transistor, der andere sperrt. Im Ausgangstransformator werden die beiden
Halbwellen wieder zusammengeführt, so daß am Lastwiderstand eine vollständige Schwingung
entsteht. Bedingt durch die Emitterschaltung der Endstufentransistoren und die Nichtlinearität des
Transformatoreisens ist der Klirrfaktor recht groß (5-10%). Die Gegenkopplung über RFB und CFB ist
kritisch, da die Phasenverhältnisse durch die Transformatoren recht unübersichtlich sind. Die Bauelemente RB und CB bilden zusammen mit der Induktivität des Ausgangstransformators und dem auf
251
die Primärseite bezogenen Lastwiderstand RL* einen Schwingkreis, welcher eventuelle Schwingungen
bei impulsförmiger Aussteuerung bedämpft. Betreibt man die Endstufe versehentlich ohne Lastwiderstand, so können hohe Induktionsspannungen an der Primärseite des Ausgangstransformators die
Halbleiter zerstören.
Im Niederfrequenzbereich sind transformatorgekoppelte Verstärker so gut wie bedeutungslos geworden, für hochfrequente Anwendungen jedoch nicht.
Treiberstufe
Endstufe
-UB
R1
CB
R1T
RL
RB
Cin
R2
uein
RET
RE
CET
CRK
RRK
Bild 9.10: Das „Urgestein“ der Endstufen: Die transformatorgekoppelte Endstufe
Bei den sogenannten eisenlosen Endstufen werden die Endstufentransistoren in Serie geschaltet
und benötigen –wie der Name es bereits besagt- keine Transformatoren. Sie werden dabei zumeist in
Kollektorschaltung betrieben. Durch die starke Gegenkopplung der Kollektorschaltung ist der Klirrfaktor
sehr gering. Es sind der A-, AB- und der B-Betrieb möglich.
Eine sehr elegante Realisierung einer Gegentaktendstufe ist die Komplementärendstufe. Sie besteht
aus einem npn- und einem pnp-Transistor, welche gemeinsam angesteuert werden. Bild 9.11 zeigt die
Prinzipschaltung einer solchen Endstufe im B-Betrieb.
T1
=
+UB
=
-UB
ua
RL
ue
~
T2
Bild 9.11: Prinzipschaltung der Komplementärendstufe im B-Betrieb
252
Die Schaltung verfügt über zwei Betriebsspannungsquellen, deren gemeinsamer Anschluß die Masse
ist. Der Transistor T1 bildet zusammen mit der Betriebsspannungsquelle +UB und dem Lastwiderstand
RL eine Kollektorschaltung, die allerdings nur bei positivem Eingangssignal wirksam ist. Analog dazu
finden wir für die negative Halbwelle des Eingangssignals eine Kollektorschaltung bestehend aus T2,
der Spannungsquelle –UB und RL.
Bei einer Eingangsspannung von Null Volt sperren beide Transistoren, es fließt also kein Ruhestrom.
Erhöht man die Eingangsspannung ue, so fließt so lange kein Strom, bis die Basis-Emitter-Diode des
npn-Transistors zu leiten beginnt (UBE<0.7V); die Ausgangsspannung ist daher noch gleich Null.
Sobald der obere Transistor leitet, folgt die Ausgangsspannung der Eingangsspannung fast ohne Verzerrungen. Während der positiven Halbwelle leitet der npn-Transistor, die Last ist der Widerstand RL
gegen Masse: Es liegt somit eine Kollektorschaltung vor. Der pnp-Transistor ist während der positiven
Halbwelle gesperrt. Während der negativen Halbwelle leitet der pnp-Transistor und der npn-Transistor
sperrt. Als Last dient wieder der RL. Es fließt also (ohne Ruhestrom) kein Strom von +UB nach -UB,
sondern stets nur Strom von einer der Betriebsspannungsquellen durch die Last nach Masse.
Wechselstrommäßig sind die Transistoren also parallel geschaltet, gleichstrommäßig hingegen in Serie. Die auftretenden Verzerrungen für ue < etwa 0.7 Volt nennt man Übernahmeverzerrungen, ein
Gegenmittel werden wir weiter unten kennenlernen.
Die Schaltung kann bei genügend großer Aussteuerung Ausgangsspannungen bis etwa ±UB liefern,
unabhängig von RL. Die Ausgangsleistung ist umgekehrt proportional zu RL, es gibt also keine
Leistungsanpassung. Außerdem ist die Schaltung ohne Schutzmaßnahmen nicht kurzschlußfest.
Die an den Lastwiderstand RL abgegebene Ausgangsleistung pa hat den Wert
pa =
Ua2 max
2RL
Die Verlustleistung des oberen Transistors T1 beträgt
PT1 =
1
*
T
T/2
∫ (U
B
− ua (t )) * iL (t ) dt
0
Die Integration geht von t = 0 bis t = T/2, da der obere Transistor nur während der ersten Halbwelle
leitet.
PT1 =
1
*
T
T/2
∫ (U
B
− Ua max * sin(ωt )) *
0
Ua max * sin(ωt )
dt
RL
Berechnet man das Integral, so ergibt sich
 UB * Ua max Ua2 max  1
 *
PT1 = 
−
π
4

 RL
Die gesamte, in beiden Transistoren auftretende Verlustleistung ist gleich 2 PT1, also
2
PT =
RL
 UB * Ua max Ua2 max 

* 
−
π
4 

253
Die von der Endstufe aufgenommene Gleichstromleistung beträgt
1
P= = 2UB * *
T
T/2
∫I
L max
0
P= =
2UB
* sin(ωt ) dt =
*
T
T/2
∫
0
Ua max
* sin(ωt ) dt
RL
2UB * Ua max
U * Ua max
≈ 0.64 B
π * RL
RL
Setzt man die obere Integrationsgrenze wie bei der Eintakt-A-Endstufe auf T statt T/2, so ergibt obiges
Integral den Wert Null. Dies ist hier jedoch nicht zulässig, da die Gleichstromleistung zwei unabhängigen Betriebsspannungsquellen entnommen wird und nicht einer Quelle wie bei der Eintaktendstufe.
Dem Netzteil wird eine Leistung entnommen, die der Aussteuerung proportional ist. Ohne Aussteuerung fließt auch kein Strom und es wird keine Leistung entnommen Dies ist ein sehr großer Vorteil
gegenüber den Eintakt A-Endstufen. Der Wirkungsgrad der Gegentaktendstufen ist
η=
p a π * Ua max
U
=
= 0.785 * a max
P=
4UB
UB
Bei maximaler Aussteuerung wird Uamax gleich UB und der maximale Wirkungsgrad beträgt damit
78.5 Prozent.
ηmax = 78.5%
In der Praxis werden durch Ruheströme und ohmsche Verluste Wirkungsgrade von 60 bis 65 Prozent
erreicht. Im realen Betrieb liegen die Wirkungsgrade noch niedriger, da Vollaussteuerung im normalen
Betrieb selten vorkommt. Das Maximum der Verlustleistung im Transistor in Abhängigkeit von Uamax
erhält man durch Differenzieren von PT1 nach Uamax:
dPT1
d
=
dUa max dUa max
 UB * Ua max Ua2 max  1

*
−
4  R L
π

U
dPT1
2Ua max  1
*
=  B −
dUa max  π
4  R L
Setzt man diese Ableitung zu Null, so erhält man
Ua max =
2
* UB ≈ 0.64UB
π
Die maximale Verlustleistung tritt im Transistor also nicht bei Vollaussteuerung auf, sondern dann,
wenn die Amplitude der Ausgangsspannung 64% der Maximalamplitude beträgt. Dann gilt für PT1max
PT1max
1
=
RL
 2UB2 4UB2 

*  2 −
4π 2 
 π
oder
PT1max =
UB2
UB2
≈
0
.
1
π 2 * RL
RL
254
Das Verhältnis Pamax/PT1max lautet
p a max
UB2 * R L
=
=5
PT1max 2R L * 0.1UB2
und gibt an, für welche Ausgangsleistung ein Transistor ausgelegt sein muß, wenn man mit ihm eine
Endstufe einer bestimmten Ausgangsleistung aufbauen will. Es gilt
PT1max = 0.2 p a max
Will man eine 25-Watt-Endstufe aufbauen, so muß man zwei Transistoren mit eine maximalen Verlustleistung von jeweils 5 Watt einsetzen.
Bei der in Bild 9.11 vorgestellten Komplementärendstufe benötigt man zwei Betriebsspannungsquellen.
Dies bedeutet einen gewissen Aufwand im Netzteil. Der Vorteil ist, daß man den Lautsprecher galvanisch an die Endstufe ankoppeln kann und daß die Verlustleistung in jedem Längstransistor eines
geregelten Netzteils halbiert wird. Durch die galvanische Ankopplung wird die untere Grenzfrequenz
der Endstufe zu 0 Hertz.
Für kleine, preisgünstige Endstufen benutzt man eine Schaltungsvariante, die mit einer Versorgungsspannung auskommt.
+UB
T1
T2
ue
CK
RL
ua
Bild 9.12: Gegentakt-B-Endstufe mit einer Betriebsspannungsquelle
In Ruhe liegen die Emitter der Endstufentransistoren auf dem Potential UB/2. Der Kondensator ist also
auch auf UB/2 aufgeladen. Bei der positiven Halbwelle wird der Kondensator über T1 weiter aufgeladen, der Ladestrom fließt durch den Lastwiderstand RL und erzeugt dort die gewünschte Wirkung (z.
B. Schallabstrahlung). Bei der negativen Halbwelle wird der Kondensator über T2 entladen, der Entladestrom fließt wiederum über den Lastwiderstand. Man hat nun keine galvanische Kopplung mehr,
sondern eine kapazitive Kopplung und dadurch bedingt auch einen nach unten begrenzten Frequenzbereich. Bei der Berechnung von Leistung etc. muß in den obigen Formeln statt UB jeweils UB/2
eingesetzt werden.
Bei vorgegebener unterer Grenzfrequenz fgu muß der Kondensator mindestens folgende Kapazität
aufweisen:
CK ≥
1
2π * fgu * R L
Bei großer Aussteuerung der Gegentakt-B-Endstufe ist die Wiedergabequalität gut unter der Voraussetzung, daß die Kennlinien der Transistoren symmetrisch sind. Emitterfolger weisen durch die große
Stromgegenkopplung ein sehr lineares Verhalten selbst bei großen Aussteuerungen auf. Die Ausgangsspannung jedes einzelnen Transistors wird also sehr genau mit dem Faktor vu≈1 der Eingangs255
spannung folgen. Sind die Transistorparameter der beiden Transistoren jedoch sehr unterschiedlich,
so wird die Spannungsverstärkung des Transistors T1 von der Spannungsverstärkung des Transistors
T2 abweichen - die Folge sind bei sinusförmiger Aussteuerung sinusförmige, jedoch ungleiche Halbwellen und damit ein gewisser Klirrfaktor. Weiterhin ist die Stromverstärkung ß vom Kollektorstrom abhängig. Ist der Kollektorstrom sehr groß, so wird ß kleiner. Da die Spannungsverstärkung des Emitterfolgers von ß abhängt, wird es bei sehr hohen Strömen zu Verzerrungen kommen. Bei kleinen
Signalamplituden treten, wie bereits in Bild 9.11 angedeutet, Probleme durch die Übernahmeverzerrungen auf. Solange die Eingangsspannung des Transistors kleiner ist als etwa 0.5 - 0.6 Volt sperrt der
Transistor, es fließt kein Ausgangsstrom und die Ausgangsspannung ist gleich Null (Bild 9.13).
Die Ausgangsspannung weist also Verzerrungen gegenüber der Eingangsspannung auf. Diese Verzerrungen fallen bei kleinen Signalamplituden wesentlich stärker ins Gewicht als bei großen Signalamplituden, da dann der Anteil der Verzerrungen an der Gesamtamplitude dort höher ist. Das Bild 9.13
ist aus den Ergebnissen mehrerer PSpice-Simulationen zusammengefügt, die Netzliste befindet sich
im Anhang.
ua
ue
Bild 9.13: Übernahmeverzerrungen im B-Betrieb, die Schaltschwellen hier sind etwa 0.62 Volt
Die Verzerrungen für den B-Betrieb mit einer Aussteuerung von 6VSS sind wie folgt:
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(RB)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
2.349632E-02
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
2.075E+00
8.301E-03
2.613E-01
6.449E-03
1.279E-01
1.000E+00
4.000E-03
1.259E-01
3.107E-03
6.162E-02
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
3.327E-03
-8.972E+01
1.800E+02
-8.947E+01
1.800E+02
NORMALIZED
PHASE (DEG)
0.000E+00
-8.972E+01
1.800E+02
-8.948E+01
1.799E+02
1.451457E+01 PERCENT
Die Verzerrungen betragen insgesamt 14.5%.
Um dieses Problem zu beheben, spannt man die pn-Übergänge der Endstufentransistoren so weit vor,
256
daß bereits ohne Aussteuerung ein gewisser Ruhestrom fließt. Dieser Ruhestrom ist jedoch bei
weitem nicht so groß wie im reinen A-Betrieb. Man nennt diese Betriebsart auch AB-Betrieb. Bild
9.14a zeigt eine mögliche Schaltung einer Endstufe im AB-Betrieb, Bild 9.14 b die Ausgangskennlinien
der Transistoren und die dazugehörige Gesamtkennlinie.
+UB
R1
ua
T1
ua
T1
P
RL
ue
ue
T2
T2
R2
-UB
a)
b)
Bild 9.14: Gegentakt-AB-Endstufe: Prinzipschaltung (a) und Kennlinie (b)
Durch Veränderung der Basispotentiale wird die resultierende Kennlinie beinahe eine Gerade. Man
bewirkt diese Veränderung der Basispotentiale dadurch, daß man einen Strom durch die Widerstände
R1, R2 und die beiden Dioden fließen läßt. Der Spannungsabfall an den Dioden spannt auch die
Basis-Emitter-Strecken der Endstufentransistoren soweit vor, daß die Transistoren ein kleinen Kollektorruhestrom führen. Eine Feineinstellung des Ruhestromes kann man mit Hilfe des Potentiometers P
vornehmen und damit auch Schaltungsunsymmetrien ausgleichen. Die Einstellung erfolgt bei ue=0V
auf einen Ausgangsstrom von 0 mA. Bringt man die Dioden in engen thermischen Kontakt mit den
Transistoren, so stabilisieren sie die Endstufe auch gegen thermisches Weglaufen. Die Kennlinien der
verwendeten Transistoren müssen weitgehend symmetrisch sein, um Verzerrungen zu vermeiden.
Man verwendet daher ausgesuchte Pärchen von Endstufentransistoren. Die Verzerrungen einer
Schaltung mit zwei Dioden und einem eingeprägten Strom von 10mA sind wie folgt:
FOURIER COMPONENTS OF TRANSIENT RESPONSE V(RAB)
DC COMPONENT =
HARMONIC
NO
1
2
3
4
5
3.681130E-02
FREQUENCY
(HZ)
1.000E+03
2.000E+03
3.000E+03
4.000E+03
5.000E+03
FOURIER
COMPONENT
NORMALIZED
COMPONENT
2.899E+00
3.102E-03
3.116E-03
6.047E-04
3.954E-04
1.000E+00
1.070E-03
1.075E-03
2.086E-04
1.364E-04
TOTAL HARMONIC DISTORTION =
PHASE
(DEG)
-2.946E-02
-9.012E+01
6.314E-02
8.848E+01
-1.787E+02
NORMALIZED
PHASE (DEG)
0.000E+00
-9.009E+01
9.260E-02
8.851E+01
-1.787E+02
1.537627E-01 PERCENT
Die Verzerrungen sind -bei sonst völlig gleichem Aufbau- von 14.5% auf 0.154% abgesunken. Sowohl
bei der B-Endstufe wie bei der AB-Endstufe wurde bewußt mit einer relativ kleinen Eingangsamplitude
von 3 Volt simuliert, um den Einfluß der Übernahmeverzerrungen beurteilen zu können.
257
Eine weitere Möglichkeit, Verzerrungen zu verringern, bieten Emitterwiderstände als Gegenkopplung.
Wegen der hohen Ströme genügen Werte in der Größenordnung von etwa 0.1 Ohm.
Die Funktion dieser Endstufenvariante soll uns noch etwas weiter beschäftigen. Dazu ersetzen wir die
Widerstände R1 und R2 durch Stromquellen I (eine beliebte Schaltungsvariante) und betrachten den
Fall, daß die Eingangsspannung einen beliebigen positiven Wert annimmt. Im Bild 9.15 ist ein Pegel
von 0.75UB angenommen.
+UB
IB
I
IIN
T1
IE = (B+1) * IB
I - IB
I - IB + IIN
0.75UB
0.75UB
~
T2
I
-UB
Bild 9.15: Stromverteilung in der AB-Endstufe bei positiver Eingangsspannung
Der pnp-Transistor T2 ist während der positiven Halbwelle gesperrt. Die obere Stromquelle liefert den
Strom I, der sich in den Basisstrom IB und den Strom durch die obere Diode aufteilt. Zu diesem Strom
gesellt sich an der Einspeisung der Signalquelle noch der Strom IIN hinzu. Die Basis des unteren
Transistors ist stromlos, so daß der gesamte Strom durch die untere Diode von der unteren Stromquelle I aufgenommen wird. Daraus lassen sich folgende Sachverhalte ersehen:
1.
Der Basisstrom der Transistoren wird von den Stromquellen geliefert und nicht von der
Signalquelle. Daraus folgt dann für die Bemessung der Konstantstromquellen I
I > IB max =
2.
IE max
UB
=
B + 1 (B + 1) * R L
Der Eingangsstrom IIN, den die Signalquelle liefert, ist gleich dem Basisstrom, da die untere
Stromquelle wieder den Gesamtstrom I aufnimmt. Die Signalquelle muß maximal den Strom I
liefern können, wenn die Signalspannung gleich ±UB ist.
Bei Endstufen im AB-Betrieb kann es Schwierigkeiten bei kapazitiven Lasten geben, da der Strom im
Moment der Stromübernahme von einem Transistor zum anderen (ue=0) bereits wieder ungleich Null
ist. Dann kann es geschehen, daß beide Transistoren gleichzeitig leiten und ein hoher Querstrom
fließt, der die Halbleiter zerstört.
Man kann die Vorspannung der Basis-Emitter-Dioden so weit treiben, daß der Querstrom durch beide
Transistoren gleich der Amplitude des maximalen Kollektorstromes ist. Dann liegt wiederum A-Betrieb
vor. Der Wirkungsgrad der Gegentaktendstufe im A-Betrieb beträgt bei Vollaussteuerung
ηmax = 50%
258
Beschäftigt man sich mit Schaltungskonzepten von Endverstärkern, so findet man auf eine unendliche
Vielfalt von Varianten vor: Ob Röhre, Bipolartransistor oder MOSFET- jedes aktive Bauelement hat
seine Verfechter. Man stößt außerdem auf eine Vielfalt von Glaubensinhalten, deren Diskussion nicht
Inhalt dieses Skriptes sein kann. Deshalb sei hier nur ein einfaches Beispiel für einen Endverstärker
angegeben. Bild 9.16 zeigt das Schaltbild eines Leistungsverstärkers mit zwei Darlingtontransistoren in
der Endstufe. Als Eingangsstufe dient ein Operationsverstärker. Dabei kann man den Operationsverstärker jedoch auch durch einen Differenzverstärker mit zwei Transistoren ersetzen.
+UB
R5
T2
C1
OP
+
T1
OUT
_
T4
R7
R3
ue
R1
R2
C2
R8
C3
RL
ua
T5
R4
T3
R6
-UB
Bild 9.16: Endverstärker mit Darlingtontransistoren
Die Eingangsstufe wird von einem Operationsverstärker OP in nichtinvertierender Grundschaltung
gebildet. Der Kondensator C1 sorgt dafür, daß keine störenden Gleichspannungen an den Endverstärker gelangen. Durch den Widerstand R1 erhält der nichtinvertierende Eingang einen definierten
Massepegel. Da der Eingangswiderstand des Operationsverstärkers sehr groß ist, hat die Gesamtschaltung den Eingangwiderstand R1. Die Kombination von C1 und R1 ist ein Hochpaß. Auch für HiFiEnthusiasten muß dies kein KO-Kriterium sein, da ganz tiefe Frequenzen ohnehin nicht mehr wahrgenommen werden. Die restliche Schaltung vom Ausgang des Operationsverstärkers bis zum Lastwiderstand RL hat eine Spannungsverstärkung von etwa 1. Vom Ausgang des Verstärkers zum invertierenden Eingang finden wir eine Rückkopplung bestehend aus R3 und C3. Vom invertierenden
Eingang nach Masse liegt das RC-Glied aus R2 und C2.
Für die Verstärkung der Gesamtschaltung finden wir daher
v ges = 1 +
R 3 II C3
Z3
= 1+
Z2
R2 + 1
jωC 2
Wir erinnern uns: Bei einer Gegenkopplung stellt der Operationsverstärker seine Ausgangsspannung
derart ein, daß die Differenzspannung uD zu Null wird. Ohne Aussteuerung ist die Spannung am
nichtinvertierenden Eingang des OP’s gleich Null. Er stellt dann seine Ausgangsspannung derart ein,
daß auch die Ausgangsspannung ua der Endstufe gleich Null Volt ist. Durch diesen Regelkreis ist
gewährleistet, daß der Lastwiderstand ohne Signal nicht von einem Gleichstrom durchflossen wird.
259
Würde sich aufgrund von Erwärmung, Alterung oder Änderung einer der Betriebsspannungen etwas
an der Ausgangsspannung ändern, so regelt dies der Operationsverstärker wieder aus. Der Kondensator C3 dient zur Kompensation des Frequenzganges, um eine eventuelle Schwingungsneigung zu
unterdrücken. Seine Kapazität beträgt daher nur einige Picofarad. Im mittleren Frequenzbereich ist die
Verstärkung der Gesamtschaltung somit gleich
v ges = 1 +
R3
R2
Der Ausgang des Operationsverstärkers speist eine Kollektorschaltung mit dem Transistor T1. Der
Transistor liefert den notwendigen Signalstrom, um die Endstufe zu treiben.
Die Endstufe selbst besteht aus den beiden Darlingtontransistoren T2 und T3. Darlingtontransistoren
weisen eine sehr hohe Stromverstärkung (B≈B1*B2) auf. Ihre Schwellenspannung ist jedoch mit etwa
1.4 Volt doppelt so groß wie die eines Einzeltransistors. Deshalb finden wir zur Arbeitspunkteinstellung
auch 4 Dioden. Die Dioden erhalten ihren Strom über die Widerstände R5 und R6. Zwischen Basis
und Emitter des Leistungstransistors beim Darlingtonpärchen finden wir noch einen integrierten
Widerstand. Dieser Widerstand sorgt dafür, daß bei impulsförmigen Eingangsspannungen die BasisEmitter-Strecke des Leistungstransistors schnell von Ladungsträgern freigeräumt wird, so daß sich
das Schaltverhalten erheblich verbessert. Der Operationsverstärker regelt zwar Unsymmetrien aus, so
daß der Lastwiderstand nicht von einem Gleichstrom durchflossen wird. Was er jedoch nicht kann, ist
den Querstrom zu begrenzen. Die Endstufentransistoren erwärmen sich im Betrieb und die BasisEmitter-Diode leitet mit steigender Temperatur immer besser (Temperaturkoeffezient etwa –2mV/°C).
Somit besteht die Gefahr, daß bei steigender Temperatur der Basisstrom auf Kosten des Stromes
durch die Dioden immer mehr zunimmt und die Endstufe „Thermisch wegläuft“. Um dieser Gefahr zu
begegnen, montiert man die Dioden in engem thermischen Kontakt auf die Kühlbleche der
Transistoren.
Die Emitterwiderstände R7 und R8 begrenzen den Ausgangsstrom etwas. Dies reicht jedoch noch
nicht unbedingt aus, um die Endstufe vor einem Kurzschluß zu schützen. Diese Funktion übernehmen
die Transistoren T4 und T5. Im Normalbetrieb sind sie gesperrt. Übersteigt der Spannungsabfall an
einem der Widerstände R7 oder R8 im Kurzschlußfall den Wert von 0.7 Volt, so wird die Basis-EmitterStrecke des dazugehörigen Transistors leitend und der Transistor entzieht dem Darlingtontransistor
den Basisstrom. Durch die Begrenzung des Basisstroms wird auch der Kollektorstrom auf ungefährliche Werte begrenzt.
Dioden zur Einstellung des Ruhestromes haben den Nachteil, daß die Spannung auf Vielfache von 0.7
Volt festgelegt ist. Eine Abhilfe bietet der sogenannte „UBE-Verstärker“, Bild 4.17.
A
R1
U
UBE
R2
B
Bild 4.17: UBE-Verstärker
260
Die Punkte A und B werden mit den Basen der Transistoren verbunden. Für die Spannung UAB gilt
dann, wenn R1 und R2 niederohmig sind:


R 
R 
U AB = UBE *  1 + 1  ≈ 0.7 V * 1 + 1 
 R2 
 R2 
Der dynamische Innenwiderstand der Schaltung ist sehr gering, so daß es keine Rolle spielt, an
welchem der Punkte A oder B man die Signalquelle anbringt.
Eine Alternative zu Darlingtontransistoren ist die „quasikomplementäre“ Endstufe (Bild 9.18).
+UB
T1
T3
R1
ue
T2
T4
RL
R2
Bild 9.18: Quasikomplementäre Endstufe, B-Betrieb, eine Betriebsspannung
Bei quasikomplementären Endstufen besteht die Endstufe aus Transistoren des gleichen Leitungstyps
(npn oder pnp). Die Treiberstufe hingegen ist mit komplementären Transistoren aufgebaut. Da die
Verlustleistung der Treiberstufe jedoch wesentlich geringer ist als die Verlustleistung der Endstufe,
genügen hier Komplementärpärchen geringerer Leistung. Im obigen Bild fungieren die Transistoren T1
und T3 wie ein npn-Leistungstransistor und die Transistoren T2 und T4 wie ein pnp-Leistungstransistor. Dabei kann es zu Schwierigkeiten kommen, da die Schwellenspannung des Transistorduos
T1,T3 größer ist als die Schwellenspannung des Duos T2 und T4. Die Übernahmeverzerrungen werden dann unsymmetrisch.
Die oben vorgestellten Endstufen stellen nur die Prinzipschaltungen dar. Es liegen sehr leistungsfähige
Schaltungskonzepte für Endstufen vor, die auch hohen Ansprüchen genügen.
Gelegentlich spielen auch „Glaubensinhalte“ bei der Wahl eines Verstärkerkonzeptes eine Rolle. Ein in
der Wolle gefärbter Rockmusiker beispielsweise wird zumeist auf Röhrenverstärker zurückgreifen.
Interessant in diesem Zusammenhang ist beispielsweise auch, dass das HiFi-Forum (http://www.hififorum.de) eine Rubrik „Voodoo“ eingerichtet hat, wo solche Glaubensinhalte gern und verbissen
diskutiert werden.
261
9.3 D-Verstärker
Bei den D-Verstärkern handelt es sich um eine Schaltungsvariante, die intern nicht mehr linear
arbeitet, sondern bei der die Ausgangsspannung ein pulsdauermoduliertes Rechtecksignal ist, welches
über ein Filter an die Last gelangt. Das Schaltungsprinzip wurde zwar bereits zu Zeiten der
Elektronenröhre entwickelt, durchsetzen konnte es sich aber erst mit der Entwicklung leistungsfähiger
und schneller MOSFETs. Der Wirkungsgrad von D-Verstärkern hängt von der Taktfrequenz und von
Schaltverhalten der Endstufentransistoren ab. Gelegentlich findet man Angaben von bis zu 95%, 85%
bis 90% sind jedoch realistischer.
Dadurch, daß hohe Spannungen und hohe Ströme geschaltet werden, entsteht ein starkes elektromagnetisches Feld, welches die Funktion benachbarter Geräte und des D-Verstärkers selbst stören
kann. Ein sorgfältiges Platinenlayout und eine konsequente Abschirmung sind daher ein absolutes
Muß. Der Vorteil der geschalteten Endstufe liegt im hohen Wirkungsgrad. Man setzt sie dann ein,
wenn ein solcher Wirkungsgrad gefordert ist. Dies ist entweder im Bereich sehr großer
Ausgangsleistungen der Fall (PA-Anlagen) oder bei Hörgeräten, deren Batterielebensdauer sich
dadurch wesentlich verlängert. Eine wichtige Anwendung findet man auch bei Motorsteuerungen in der
Energieelektronik.
Bild 9.19 zeigt das Prinzipschaltbild eines D-Verstärkers, wobei eine Gegenkopplung zur Verringerung
von Verzerrungen noch nicht eingezeichnet ist.
CSieb
BIAS
P-Kanal
=
+UB
=
-UB
Tiefpaßfilter
+
OUT
_
Treiber
ue
~
Dreieckspannung
N-Kanal
RL
Modulator
(Komparator)
BIAS
CSieb
Bild 9.19: Prinzipschaltbild des D-Verstärkers
Ein Komparator am Eingang vergleicht das Eingangssignal mit einer Dreieckspannung und liefert
jedesmal dann eine Impulsflanke, wenn beide Signale gleich sind. Die Frequenz der Dreieckspannung
ist wesentlich größer als die höchste im Spektrum des Eingangssignals enthaltene Frequenz. Das
Ergebnis ist eine Rechteckimpulsfolge am Ausgang des Komparators, deren Mittelwert dem augenblicklichen Wert des Eingangsspannung entspricht. Im Bild ist die Eingangsspannung etwas größer als
Null, so daß der Mittelwert der Impulsfolge auch etwas größer als Null ist. Man kann anstatt einer
Dreieckimpulsfolge auch Sägezahnimpulse verwenden, jedoch ist das Spektrum der Ausgangsimpulse
dann ungünstiger.
Leistungs-MOSFETs haben eine Eingangskapazität im Bereich von einigen Nanofarad. Die Treiberfähigkeit des Komparatorausgangs reicht im Allgemeinen nicht aus, solch große kapazitive Lasten zu
treiben. Die Flanken würden verschliffen werden. Deshalb folgt auf den Komparator eine Treiberschaltung, welche die MOSFETs mit steilflankigen Impulsen ansteuern kann.
262
Die Treiberschaltung wiederum steuert die Gate-Source-Strecken der Leistungs-MOSFETs. Man
bevorzugt MOSFETs anstelle von Bipolartransistoren, weil sie ein besseres Schaltverhalten aufweisen.
Am gemeinsamen Drainanschluß (Eingang des Filters) finden wir eine rechteckförmige Spannung vor,
die zwischen +UB und –UB hin und her schaltet. Der Mittelwert dieser Impulsfolge entspricht dem
Augenblickswert der Eingangsspannung ue. Ein Tiefpaßfilter ist im Prinzip nichts anderes als ein
Mittelwertbildner: Am Ausgang des Tiefpaßfilters erscheint somit eine Spannung ua, die dem Augenblickswert der Eingangsspannung entspricht. Hier ist ein Filter zweiter Ordnung eingezeichnet, vielfach
werden jedoch auch Filter vierter und höherer Ordnung verwendet.
Es ist gute Praxis in der Elektronik, inmitten einer elektronischen Schaltung Siebkondensatoren
einzubauen, die eventuelle Stromspitzen liefern können. Bei D-Verstärkern ist es ein Muß. Deshalb
sind hier im Schaltbild auch solche Kondensatoren eingezeichnet. Sie sollten in unmittelbarer Nähe der
Transistoren liegen. Die Elkos müssen Typen sein, die eine niedrige Impedanz aufweisen. Solche
Bauformen findet man beispielsweise auch in Schaltnetzteilen.
Damit haben wir einen Leistungsverstärker aufgebaut: Die Ausgangsleistung an der Last hängt von ue,
dem Lastwiderstand RL und den Spannungen ±UB ab. Das ist genau das, was wir bei den Eintakt- und
Gegentaktverstärkern auch hatten. Beim D-Verstärker geht man aber zwischenzeitlich auf Impulse
über und regeneriert das Originalsignal erst am Ausgang wieder. Das Ausgangsfilter muß in
unmittelbarer Nähe der Endstufentransistoren aufgebaut werden, nicht etwa am Lautsprecher.
Leitet man hochfrequente und energiereiche Impulse über ein Kabel, so wirkt dieses als Sendeantenne
und der Verstärker stört elektronische Geräte in weitem Umkreis.
Bild 9.20 zeigt eine pulsdauermodulierte Rechteckimpulsfolge, wenn das Eingangssignal sinusförmig
ist. In der Realität ist die Frequenz des Dreieckssignals aber wesentlich größer als hier dargestellt.
Dreieck
Sinus
Ausgangssignal
t
Bild 9.20: Dreiecksignal, Eingangssignal und Ausgangssignal des Komparators
Die Amplitude des Eingangssignals wurde etwas kleiner als die des Komparatorsignals gewählt. Hier
kann man auch eine mögliche Gefahr erkennen: Ist die Amplitude des Eingangssignals größer als die
des Komparatorsignals, so schaltet der Komparator nicht mehr periodisch ein und aus und am
Eingang des Filters liegt zeitweise ein Gleichsignal, welches natürlich auch an den Lautsprecher
gelangt. Dies kann zur Zerstörung von Lautsprecher oder Transistoren führen.
263
Wir erkennen noch ein weiteres: Ohne Übersteuerung finden pro Periode des Komparatorsignals zwei
Flanken statt: Eine von –UB nach +UB und eine Rückflanke. Bezeichnet man mit fS die Abtastfrequenz (die des Dreiecksignals), so gilt
Anzahl der Schaltflanken pro Sekunde = 2fS
Wir können für jeden Endstufentransistor drei Zustände unterscheiden:
1.) UDS=UB, ID=0: PV=0
2.) UDS≈0, ID=UB/RL: PV relativ klein
3.) Übergänge von 1 nach 2 und umgekehrt: PV groß
Der erste Zustand betrifft den gesperrten Transistor: Es fließt nur ein geringer Reststrom, die Verlustleistung PV ist vernachlässigbar klein. Im zweiten Zustand ist der Transistor durchgeschaltet, er
fungiert als sehr niederohmiger ohmscher Widerstand. Nehmen wir einmal einen Widerstand von
100mΩ an, so beträgt die Verlustleistung bei einem Drainstrom von 10 Ampere
PV = 0.1Ω * 10 A * 10 A = 10W
Auch das ist wenig, wenn man bedenkt, daß 10 Ampere Strom durch den Lastwiderstand eine große
Ausgangsleistung bedeuten.
Die unter 3.) geschilderten Zustandsübergänge sind die kritischen: Hier sind sowohl Drain-SourceSpannung als auch Drainstrom gleichzeitig groß und damit auch die Verlustleistung. Dies ist allerdings
nur während der relativ kurzen Zeit der Zustandsübergänge der Fall. Da die Anzahl dieser Übergänge
2fS pro Sekunde beträgt, ist ihr Beitrag zur Verlustleistung proportional zur Abtastfrequenz: Je höher
die Abtastfrequenz, um so größer die Verlustleistung. Deshalb gibt es Endstufen im D-Betrieb, die eine
sehr große Ausgangsleistung erbringen müssen, momentan nur für den Baßbereich (Sub-Woofer), da
dort die Abtastfrequenz relativ gering sein kann.
Bild 9.21 zeigt die Simulation eines Leistungs-MOSFETs vom Typ IRF150.
Das Gate des Transistors wurde mit einer Rechteckimpulsfolge der Frequenz 100kHz angesteuert, die
Anstiegs- und Abfallzeit der Steuerspannung betrug jeweils 2µsec. Die Gatespannung stieg dabei von
0 Volt auf 50 Volt an. Die Last am Drain war 10 Ohm, was zu einem Drainstrom von etwa 5 Ampere
führte, da die Betriebsspannung 50 Volt betrug.
Der Aus-Zustand ist im Bild 9.21 gar nicht dargestellt, da die Verlustleistung sehr gering ist. Im EinZustand wurde ein Verlustleistung im Milliwattbereich ermittelt. Das Problem liegt im Bereich der
Zustandsübergänge. Hier treten kurzzeitig Verlustleistungen bis zu 62.5 Watt auf. Je mehr
Zustandsübergänge stattfinden –je höher also die Abtastfrequenz ist- um so mehr durchschnittliche
Verlustleistung ist zu verzeichnen. Damit sinkt der Wirkungsgrad erheblich ab.
264
60W
62.5W
50V
40W
10Ω
50V
0V
20W
238mW
0W
0s
1.0us
2.0us
3.0us
V(DRAIN)*ID(M1)
4.0us
5.0us
6.0us
7.0us
TIME
Bild 9.21: Drainspannung und Verlustleistung beim IRF150
Da bei hohen Schaltfrequenzen der Wirkungsgrad absinkt, ist man natürlich bemüht, eine möglichst
niedrige Abtastfrequenz zu wählen. Bild 9.22 zeigt das Spektrum des abgetasteten Signals (der
Diracstoß entspricht einem Sinussignal).
Filterkurve
fmod
fS
fmax
f
Bild 9.22: Spektrum eines abgetasteten Sinussignals
Das Spektrum eines pulsdauermodulierten Signals ist kompliziert. Das Basisband (f=0 bis f=fmax)
bleibt erhalten und es treten Oberwellen um fS, 2fS und so weiter herum auf. Das Tiefpaßfilter am
Ausgang des Verstärkers filtert alle Frequenzen oberhalb fmax heraus. Im Bild sind nur einige der
Oberwellen um fS herum dargestellt. Es handelt sich, da das Eingangssignal ein Sinussignal ist, um
diskrete Spektrallinien, die mit Besselfunktionen gewichtet sind. Die Anzahl der Oberwellen um fS
herum ist jedoch unendlich, und so reichen einige der Oberwellen in das Basisband hinein und lassen
sich durch das Tiefpaßfilter nicht mehr ausfiltern. Da gibt es nur zwei Gegenmaßnahmen: Zum einen
kann man die Abtastfrequenz so hoch wählen, daß die Oberwellen, welche ins Basisband fallen, nicht
mehr stören und zum anderen kann man mit Hilfe einer Gegenkopplung versuchen, diese Oberwellen
zu unterdrücken.
265
Wo bleibt denn da das Abtasttheorem? Draußen vor, weil der „Digitalisierungsvorgang“ ein ganz anderer ist. Bild 9.23 soll dies verdeutlichen. Es sind nur die spektralen Anteile für f>0 dargestellt. Bei einem
abgetasteten Signal wiederholt sich das Spektrum periodisch. Das Basisband wiederholt sich durch die
Faltungsoperation mit der abtastenden Diracstoßfolge mit der Frequenz Abtastfrequenz fS. Unter der
Bedingung, daß die Abtastfrequenz fS größer als das zweifache der höchsten im Basisband
enthaltenen Frequenz ist, entstehen Lücken zwischen den einzelnen Spektren. Durch ein Tiefpaßfilter
kann man dann aus dem Signal wieder das Basisband und damit das ursprüngliche Signal zurückgewinnen. Da das Basisband begrenzt ist, sind es auch die oberen Bänder.
Filterkurve
Lücke
fmax
fS
2fS
f
2fS
f
abgetastetes Signal
Filterkurve
fmax
fS
pulsdauermoduliertes Signal
Bild 9.23: Spektren bei Abtastung und bei der PDM
Beim pulsdauermoduliertem Signal ist dies grundsätzlich anders. Es entstehen wiederum Spektren um
die Abtastfrequenzen fS, 2fS usw. herum, welche nun aber jeweils unbegrenzt sind. Dadurch gibt es
keine Lücken zwischen den Frequenzbändern, spektrale Anteile reichen bis ins Basisband hinein.
Deshalb kann man mit Hilfe des Tiefpaßfilters das ursprüngliche Signal nicht mehr zurückgewinnen, es
kommt zu Verzerrungen.
Der Unterschied im Abtastvorgang ist der, daß man bei der „klassischen“ Digitalisierung einen Meßwert mit Hilfe eines Sample and Hold-Gliedes „einfriert“ und diesen Wert dann umsetzt, was einer
Abtastung mit einer Diracstoßfolge entspricht. Bei der Pulsdauermodulation hingegen tastet mit einer
Funktion endlich langer Dauer ab.
Erhöht man die Abtastfrequenz bei der PDM, so wandern die Bänder in den Bereich höherer Frequenzen und die unerwünschten Anteile im Basisband gehen immer weiter zurück. Dem stehen aber zwei
Effekte entgegen: Verdoppelt man die Abtastfrequenz, so verdoppelt man auch die Anzahl der
Flanken: Die Verlustleistung steigt, der Wirkungsgrad sinkt. Außerdem kann man bei sehr hohen
Schaltfrequenzen nicht mehr unbedingt davon ausgehen, daß die Einschaltdauer der Drain-SourceStrecke exakt gleich der Dauer des Einschaltimpulses an der Gate-Source-Strecke ist. Parasitäre
Effekte beginnen eine Rolle zu spielen. Dadurch ist die Mittelwertbildung nicht mehr exakt.
266
Je steiler die Flanken eines Signals sind, um so größer ist auch der Anteil an Oberwellen. Ein gewisser
Anteil an Oberwellen wird abgestrahlt und verseucht als Elektrosmog die Umgebung. Dies kann zu
Störungen der Elektronik im D-Verstärker selbst und benachbarter Geräte führen. (Ähnliche Verhältnisse findet man übrigens auch in Schaltnetzteilen.) Eine sorgfältiges Platinenlayout und eine
gewissenhafte Abschirmung sind deshalb bei D-Verstärkern ein absolutes Muß.
Die folgende Tabelle listet die maximalen Wirkungsgrade der einzelnen Betriebsarten noch einmal auf:
ηmax
25% ohne Trafo, 50% mit Trafo
50%
<78.5%
78.5%
80%-95%
Betriebsart
A, Eintakt
A, Gegentakt
AB
B
D
Tabelle 9.1: Maximale Wirkungsgrade bei den einzelnen Betriebsarten
9.4 Kühlung von Halbleiterbauelementen
Vielfach erhitzen sich Halbleiterbauelemente während des Betriebes derart, daß spezielle Maßnahmen
zur Wärmeableitung notwendig werden. Man bedient sich dabei bei Kleinleistungstransistoren der
Kühlsterne, die einfach auf das Gehäuse aufgesteckt werden oder Kühlbleche, auf die man den Transistor montiert. Bei großen Transistoren nimmt man Kühlkörper oder montiert sie eventuell auf dem
Chassis. Es bleibt ohne Berechnung jedoch immer die Frage offen, ob die vorgesehene Kühlmaßnahme für eine gegebene Anwendung ausreicht.
Für Transistoren wird im allgemeinen in den Datenbüchern eine maximale Sperrschichttemperatur
angegeben. Diese darf im Betrieb nicht überschritten werden. Sie liegt bei Siliziumtransistoren in der
Größenordnung von 150 °C bis 200 °C. Kühlt man nich t, so reicht bereits eine kleine Wärmemenge
aus, um die Sperrschicht auf diese Maximaltemperatur aufzuheizen. Die überflüssige Wärmemenge
muß deshalb abgeleitet werden. Man kann sich die im Transistor erzeugte Wärmemenge als einen
Wärmestrom vorstellen, der über gewisse Wärmewiderstände hinweg an die Umgebung abgeleitet
wird. Wärmekapazitäten nehmen eine gewisse Wärme auf. Als Wärmesenke fungiert die umgebende
Luft, welche natürlich auch bereits auf eine bestimmte Temperatur erwärmt ist. Deshalb spielt auch die
maximale Umgebungstemperatur TU bei der Berechnung von Kühlkörpern eine Rolle. TU ändert sich
wahrscheinlich mit zunehmender Betriebsdauer nach dem Einschalten eines Gerätes, deshalb gibt
man eine Maximaltemperatur TU vor, die unter ungünstigsten Bedingungen im Gerät herrscht.
Cthg
TC Rthiso
TJ
Pth
Rthg
Cthk
TK
Rthk
TU
Bild 9.24: Thermisches Ersatzschaltbild des Systems Transistor-Glimmerscheibe-Kühlkörper
Bild 9.24 zeigt das thermische Ersatzschaltbild eines Transistors, der auf einem Kühlkörper montiert
ist. Zur elektrischen Isolation dient eine Glimmerscheibe zwischen Transistor und Kühlkörper. Der
Transistor liefert die thermische Leistung Pth, die das Aufheizen der Sperrschicht auf eine gewisse
Temperatur TJ (J = Junction) bewirkt. Es fließt ein Wärmestrom über das Gehäuse zur Glimmerscheibe. Der Wärmewiderstand Rthg des
Gehäuses bewirkt, daß das Gehäuse die Tempe267
ratur TC (C = Case) hat. Der gleiche Wärmestrom fließt über die Glimmerscheibe mit dem Wärmewiderstand Rthiso zum Kühlkörper mit dem Wärmewiderstand Rthk. Dieser heizt sich auf die Temperatur TK auf, wenn er die Wärmemenge an die umgebende Luft abgibt. Sowohl das Transistorgehäuse
als auch der Kühlkörper besitzen eine gewisse Wärmekapazität Cthg bzw. Cthk. Diese Wärmekapazität gestattet es, des Transistor kurzzeitig einer höheren als der maximalen Verlustleistung auszusetzen. Ähnlich wie Kondensatoren Strom aufnehmen, nehmen die Wärmekapazitäten den
Wärmestrom auf. Stellt man sich den Wärmestrom als elektrischen Strom vor, so handelt es sich um
einen Gleichstrom (Betrieb ohne Signal) plus einem Wechselanteil. Insgesamt ist der Wärmestrom
aber immer positiv. Die RC-Glieder „laden“ sich dann auf den Mittelwert des gesamten Wärmestromes
auf. Bei einer Aussteuerung mit Impulsen darf die Verlustleistung größer als die maximale Verlustleistung sein, entsprechende Kurven stehen in den Datenblättern vieler Halbleiterhersteller.
Bild 9.25: Resultierender thermischer Widerstand als Funktion des Tastverhältnisses
Den resultierenden thermischen Widerstand eines Transistors bei Aussteuerung mit Impulsen zeigt
Bild 9.25. Je nach Tastverhältnis und Einschaltdauer t1 schwankt er zwischen dem 0.025fachen und
dem vollen Widerstand des Transistors. Das bedeutet, bei kleinem Tastverhältnis D kann der Transistor wesentlich mehr Verlustleistung aufnehmen, die Wärmekapazität des Gehäuses nimmt diese
Leistung auf.
Der Wärmewiderstand hat die Dimension Kelvin/Watt (K/W). Will man die Temperatur der Sperrschicht bestimmen, so gilt ähnlich wie beim Ohmschen Gesetz:
TJ = TU + Pth * (R thg + R thl + R thk )
Die Sperrschichttemperatur TJ ist also gleich der Umgebungstemperatur TU plus einem Anteil, der
sich aus dem Produkt aus der Verlustleistung des Transistors und der Summe der Wärmewiderstände
zusammensetzt. Die Verlustleistung, der thermische Widerstand des Transistorgehäuses und der
Widerstand der Glimmerscheibe sind bekannt. Gefragt ist nach dem maximalen thermischen Widerstand des Kühlkörpers, damit die Temperatur der Sperrschicht die maximal erlaubte Temperatur nicht
überschreitet. Durch Auflösen der obigen Gleichung erhält man dann:
R thk =
TJ − TU
− R thl − R thg
Pth
Bei diesen Berechnungen ist sind die Wärmekapazitäten nicht berücksichtigt.
268
Ein Beispiel: Laut Datenblatt darf die maximale Sperrschichttemperatur des Transistors 200 °C betragen. Das Gehäuse des Transistors hat einen thermischen Widerstand von 1.5 K/W. Der Wärmewiderstand der Glimmerscheibe betrage bei Einsatz von Wärmeleitpaste 0.35 K/W. Wie groß darf der maximale Wärmewiderstand des Kühlkörpers sein, wenn die maximale Umgebungstemperatur TU 50 °C
beträgt? Die Verlustleistung des Transistors sei 17 Watt.
R thk =
200°C − 50°C
K
K
K
− 1.5
− 0.35
= 6.97
17W
W
W
W
Der Kühlkörper darf also einen maximalen Wärmewiderstand von 6.97 K/W aufweisen. Glimmerscheiben für TO-3-Gehäuse (z. B. 2N3055) weisen bei 50 µm Dicke einen Wärmewiderstand von 1.25
K/W auf und 1.5 K/W bei 75 µm Dicke. Bestreicht man sie mit Wärmeleitpaste, so verringert sich der
Wärmewiderstand um etwa 0.9 K/W. Will man einen möglichst kleinen Kühlkörper verwenden, so muß
dieser schwarz sein, da dann die Wärmeabstrahlung besser ist (schwarzer Körper aus der Physik).
Beim Impulsbetrieb können Kühlkörper kleiner als berechnet ausgelegt werden.
9.5 Nachtrag: PMPO und RMS
Ich schreibe diesen Nachtrag aus aktuellem Anlass: Der immer häufiger auftretenden Angabe "PMPO"
bei der Charakterisierung der Ausgangsleistung von Verstärkern und Leistungsangabe bei
Lautsprechern. PMPO ist reiner Betrug.
RMS bedeutet "Root Mean Square", auf Deutsch "Effektivwert". Beispielsweise ist der Effektivwert
einer sinusförmigen Spannung mit der Amplitude Umax gleich
Ueff =
Umax
2
= 0.707 * Umax
Die Leistung, die diese Spannung an einem Widerstand R erzeugt, berechnet man wie folgt:
PRMS =
U2eff
R
Eine Leistungsangabe in RMS bedeutet, wieviel Watt Dauerleistung (bei Aussteuerung mit
sinusförmigem Signal) ein Verstärker liefern oder eine Lautsprecherbox aufnehmen kann, ohne
beschädigt zu werden. Die genaue Definition liefert DIN 45500, die HiFi-Norm.
Wir wollen hier einmal berechnen, wieviel Watt Dauerleistung ein Verstärker abgeben kann, der mit
der Betriebsspannung UB betrieben wird. Es sei eine normale Verstärkerschaltung nach Bild 9.12, die
mit einer Betriebsspannung auskommt. Wir gehen davon aus, daß alle anderen Entwurfsparameter
(Kühlung etc.) berücksichtigt wurden.
269
Der Spitze-Spitze-Wert des Sinussignales ist gleich UB, dann ist die Amplitude des Sinus gleich UB/2
und der Effektivwert Ueff
Ueff =
UB
2* 2
und für die Sinusdauerleistung finden wir dann
PRMS
U2eff UB2
=
=
R
8R
Nehmen wir einmal einmal an, wir hätten ein Paar Aktivboxen für unseren PC erworben und messen
am Steckernetzteil eine Spannung von 12 Volt. Die Lautsprecher haben einen Widerstand von 4 Ohm.
Dann gilt:
PRMS
UB2
12V 2 144
=
=
=
W = 4. 5 W
8 R 8 * 4Ω 32
Mehr Leistung als 4.5 Watt kann der Verstärker nicht an die Boxen abgeben. Es fehlt hier jedoch noch
die Angabe des Klirrfaktors. Kein Verstärker ist ideal und verzerrt das Eingangssignal ein wenig, was
man durch den Klirrfaktor charakterisieren kann. Eine faire Angabe wäre dann:
Ausgangsleistung 4.5 Watt RMS bei einem Klirrfaktor von 0.3 Prozent
Es gibt noch andere Parameter, die wir hier aber nicht berücksichtigen wollen.
Bei Boxen kann man etwa davon ausgehen, daß die Impulsbelastbarkeit etwa 60 Prozent größer ist
als die Dauerbelastbarkeit.
Nun zur Leistungsangabe "PMPO". Man findet verschiedene Angaben darüber, was PMPO bedeutet:
PMPO: Peak Music Power Output
Pulse Maximum Power Output
PMPO liefert eine Aussage darüber, wieviel Leistung ein Verstärker kurzzeitig ohne Beschädigung
liefern kann bzw. wieviel Leistung eine Box kurzzeitig aufnehmen kann. Dabei ist keine Angabe über
irgendeine Meßvorschrift gemacht.
Als Beispiel dazu eine Angabe aus einer Internetrecherche (Stichworte PMPO und RMS):
XXX Boxen Active 200/ 2x3W RMS 200W PMPO
Den Markennamen habe ich unkenntlich gemacht. Also Boxen, die pro Lautsprecher maximal 3 Watt
liefern, können kurzzeitig 200 Watt abgeben. Das ist nur ein Beispiel von vielen und der Anbieter
gehört noch zu den ehrlichen, die noch den RMS-Wert mit angeben. Der PMPO-Wert ist eine reine
Fantasieangabe und kann eigentlich nur dem verkoksten Schläfenlappen eines drittklassigen
Marketingfritzen entsprungen sein.
Weiterführende Literatur zu diesem Kapitel: 2N3055.pdf, BD438.pdf
270
Netzlisten:
Transistor in Kollektor- und Emitterschaltung; A-Betrieb
.lib c:\lude\ps\sim.lib
VPLUS PLUS 0 20V
* Emitterschaltung:
RLAST PLUS KOLL 10
QEMMI KOLL BAS1 0 LEISTNPN
RBAS1 PLUS BAS1 2000
C1 IN1 BAS1 10U IC=-0.75V;
VIN1 IN1 0 SIN(0 0.2 1000)
CAUS1 KOLL KOLLAUS 1N; Geringfuegige Spannungsteilung!
RAUS1 KOLLAUS 0 10MEG
* Kollektorschaltung:
QKOLLI PLUS BAS2 EMMI LEISTNPN
RLUST EMMI 0 10
RBAS2 PLUS BAS2 966
C2 IN2 BAS2 10U IC=-10.75V
VIN2 IN2 0 SIN (0 8.328 1000)
CAUS2 EMMI EMMAUS 1N; Geringfuegige Spannungsteilung
RAUS2 EMMAUS 0 10MEG
.TRAN/OP 100U 100M 99M 25U UIC
.FOUR 1000 V[KOLLAUS] V[EMMAUS]
.END
Leistungsverstaerker B- und AB-Betrieb
.LIB C:\LUDE\PS\SIM.LIB
VPLUS PLUS 0 20V
VMINUS MINUS 0 -20V
* B-Endstufe:
VINB BASB 0 SIN (0 3 1000); KLEINE AUSSTEUERUNG VON 6VSS
Q1B PLUS BASB RB LEISTNPN
Q2B MINUS BASB RB LEISTPNP
RLB RB 0 4
* AB-Endstufe
VINAB IN 0 SIN (0 3 1000); KLEINE AUSSTEUERUNG VON 6VSS
Q1AB PLUS PSTROM RAB LEISTNPN
Q2AB MINUS NSTROM RAB LEISTPNP
RLAB RAB 0 4
IPLUS PLUS PSTROM 10M
D1 PSTROM IN 1N4148
D2 IN NSTROM 1N4148
IMINUS NSTROM MINUS 10M
.TRAN 100U 2M 1M 10U
.FOUR 1000 V[RB] V[RAB]
.END
271
Schaltverhalten eines Leistungs-MOSFETs
IRF150
.lib "nom.lib"
R2
DRAIN PLUS 10
R1
IN 0 1k
M1
DRAIN IN 0 0 IRF150
VDC
PLUS 0 50
VIN
IN 0 DC 0 AC 0 PULSE 0 50 0 2u 2u 3u 10u
.tran 10ns 8u 0 5n
.OP
.probe
.END
272
10. Oszillatoren
10.1 Allgemeine Grundlagen
Als Oszillator bezeichnet man ganz allgemein jedes schwingende Gebilde. Dazu sind mindestens zwei
Energiespeicher erforderlich, zwischen denen Energie periodisch hin- und herwechseln kann. Da
dieser Energiewechsel nicht ohne Verluste vonstatten geht, muß Energie zugeführt werden, um die
Schwingung aufrecht zu erhalten. Thema dieses Kapitels sind Oszillatorschaltungen mit Halbleitern,
welche die Energie der Betriebsspannungsquelle in Wechselspannungen und -ströme umwandeln.
Eine Schwingung ist gekennzeichnet durch Frequenz, Amplitude und Kurvenform. Bei einer periodischen Schwingung ist die Frequenz f der Kehrwert der Periodendauer T. Ist der Kurvenverlauf sinusförmig, so spricht man von einer harmonischen Schwingung. Die in der täglichen Praxis wichtigsten
Kurvenformen sind
- Sinus
- Rechteck
- Sägezahn
- Dreieck
Als hier nicht weiter behandelten Spezialfall sei noch der Rauschgenerator erwähnt, der innerhalb
eines bestimmten Frequenzbereiches ein Rauschen erzeugt. Je nach dem Frequenzspektrum des
Rauschens spricht man von weißem, rosa oder auch andersfarbigem Rauschen.
Die Ausgangsspannungen von Oszillatoren können reine Wechselspannungen oder von einem
Gleichspannungsoffset überlagerte Wechselspannungen sein. Ihr Einsatzbereich ist sehr weit
gespannt; man findet sie im Millihertz-Bereich bis hin zu mehr als 10 GHz. Man benutzt sie unter
anderem
- als Taktgenerator (Rechteckschwingung)
- als Trägerfrequenzoszillator (Sinusschwingung)
- zur Erzeugung von Ablenkspannungen (Sägezahnschwingung)
- zur Erzeugung von Zwischenfrequenzen (Sinusschwingung)
- als Prüfgenerator (verschiedene Kurvenformen)
Man kann solche Oszillatoren entweder mit aktiven Vierpolen als Vierpoloszillator aufbauen oder mit
Zweipolen, deren Kennlinie in einem bestimmten Bereich eine negative Steigung aufweist (Zweipoloszillator, Beispiel: Tunneldiode).
Die Festlegung der Oszillatorfrequenz erfolgt auf unterschiedliche Art und Weise. Bei Sinusoszillatoren
durch Schwingkreise, RC-Glieder oder Quarze, bei Rechteckoszillatoren durch die Zeitkonstanten von
RC-Gliedern und die Triggerschwelle von aktiven Bauelementen, eventuell durch Quarze. Bei
Sägezahnoszillatoren schließlich wird die Oszillatorfrequenz abhängig vom Schaltungsprinzip von der
Zeitkonstanten eines RC-Gliedes, der Ladespannung oder dem Ladestrom, der Triggerschwelle eines
Schalters oder der Zeitkonstanten eines Integrators bestimmt.
273
10.2 Sinusoszillatoren
10.2.1 Prinzip des rückgekoppelten Verstärkers
Man kann sich die Funktion eines Oszillators auf zwei unterschiedliche Arten und Weisen verdeutlichen: Mit Hilfe einer Rückkopplung und mit Hilfe eines aktiven Zwei- oder Vierpoles, der einen negativen Widerstand darstellt. Beide Betrachtungsweisen sind jedoch nur unterschiedliche Sichtweisen
des gleichen Sachverhaltes.
Bild 10.1 zeigt eine rückgekoppelte Verstärkerschaltung ähnlich Bild 3.1. Der Unterschied besteht hier
jedoch darin, daß am Eingang eine Addierschaltung statt einer Subtrahierschaltung angenommen wird.
Wir haben somit anstelle einer Gegenkopplung eine Mitkopplung vorliegen.
+
Verstärker mit
+
A
vD
ua
ue
Rückkopplungsglied
k
Bild 10.1: Mitgekoppelter Verstärker
Beim rückgekoppelten Verstärker nach Bild 10.1 beträgt die Gesamtverstärkung
v=
vD
1− k * vD
Macht man den Nenner zu Null, so geht die Verstärkung der Schaltung gegen unendlich. Der Verstärker benötigt kein Eingangssignal mehr, um ein Ausgangssignal zu erzeugen. Es genügt das von ihm
selbst verursachte Rauschen oder eine andere, im Prinzip unerwünschte Störspannung. Dieses Signal
wird im Verstärker erzeugt und gelangt über die Rückkopplung wieder auf den Eingang des Verstärkers. Wird der Nenner 1-k * vD bei einer bestimmten Frequenz zu 0, so gilt
k * vD = 1
Diese Bedingung ist die Selbsterregungsbedingung nach Barkhausen. Da die Schleifenverstärkung
k*vD komplex ist, besteht diese Bedingung aus zwei Teilen:
1. der Amplitudenbedingung Ik*vDI= 1
2. der Phasenbedingung φ (k*vD) = n * 360° (n = 0, 1, 2, ..)
Die Amplitudenbedingung sagt aus, daß der Verstärker die vom Rückkopplungsvierpol verursachte
Signaldämpfung ausgleicht. Die Phasenbedingung fordert, daß die Rückkopplung eine Mitkopplung
sein muß. Damit der Oszillator auf einer bestimmten Frequenz f0 schwingt, darf die Selbsterregungsbedingung nur für diese Frequenz erfüllt sein. Es gibt verschiedene Möglichkeiten, dies zu realisieren:
1.
Der Verstärker oder die Rückkopplung erhalten selektive Glieder (z. B. einen Schwingkreis
oder einen Quarz) und lassen nur Spannungsanteile dieser Frequenz mit ausreichend großem
vD oder k passieren. Dies beeinflußt die Amplitudenbedingung. (LC-Oszillatoren)
274
2.
Die Phasenbedingung wird vom Rückkopplungsvierpol nur für eine einzige Frequenz mit ausreichend großen k erfüllt (vielfach bei RC-Oszillatoren).
Ist die Schleifenverstärkung größer als 1, so steigt die Amplitude des Schwingung so lange an, bis sie
durch nichtlineare Effekte des Verstärkers begrenzt wird: Die Ausgangsspannung ist nicht mehr sinusförmig, sondern verzerrt. Will man unverzerrte sinusförmige Spannungen erzeugen, so ist es wichtig,
die Schleifenverstärkung zu begrenzen. Unmittelbar nach dem Einschalten soll die Verstärkung hoch
sein, damit der Oszillator sicher anschwingt. Nach einigen Schwingungen jedoch muß sich ein Arbeitspunkt mit einem k*vD eingestellt haben, der eine möglichst geringe Verzerrung garantiert. Dazu muß
die Schleifenverstärkung in Abhängigkeit von der Amplitude geregelt werden. Dies kann beispielsweise
durch Gleichrichtung an der Basis-Emitter-Strecke des verstärkenden Transistors geschehen, dessen
Arbeitspunkt sich dann verschiebt.
Soll die Frequenz des Oszillators sehr konstant gehalten werden, so darf sich kein frequenzbestimmendes Bauelement verändern, wie dies vor allem durch Temperatureinfluß oder Alterung geschehen
kann. Ein Maß für die Frequenzänderung ist die Phasensteilheit Sφ. Darunter versteht man die Veränderung der Phase der Schleifenverstärkung als Funktion der Frequenz:
Sφ =
dφ
df
Sie ist bei einem gegebenen Verstärker abhängig vom Rückkopplungsnetzwerk und sollte möglichst
groß sein, um eine hohe Frequenzstabilität zu gewährleisten.
Wie kann man bei einer gegebenen Oszillatorschaltung feststellen, ob sie schwingt oder nicht? Man
trennt die Rückkopplungsschleife zwischen Verstärkerausgang und Eingang des Rückkopplungsnetzwerkes auf, speist eine Spannung in den Rückkopplungsvierpol ein und mißt die Spannung am
Verstärkerausgang. Für die Schleifenverstärkung g des Systems gilt mit ue=0
g = k * vD
Wenn die Schleifenverstärkung g bei einer bestimmten Frequenz reell wird (Phasenbedingung) und
der Betrag der Verstärkung größer oder gleich 1 ist, so wird der Oszillator schwingen.
Als Beispiel dient ein Meißneroszillator, wie er weiter unten besprochen wird. Es ist dem Buch von
Ehrhardt (s. Literaturverzeichnis) entnommen. Hier sollen auch die Grenzen des Verfahrens aufgezeigt
werden.
275
R3
11
0.001
R4
RLB
0.1
22k
PLUS
12
LB
0.1mH
LC
17 10mH
R1 120k
INPUT
0.001
VIN
AC 1
100k
COLL
VCC
10V
T1
BASIS
10
BC107
100nF
R2
22k
EMITTER
14
~
10pF
RC
RLC
10
C1
RG
CC
VSTIM
PWL
RE
5.6k
~
0
Bild 10.2: Meißneroszillator
Die gestrichelt eingezeichneten Bauelemente sind nur zu Simulationszwecken eingeführt. In der Realität sind die Knoten 12 und 10 miteinander verbunden, der Knoten 14 liegt an Masse. Zur Analyse des
Frequenzganges trennt man die Schaltung am Widerstand R3 auf und stimuliert mit VIN. Der Widerstand R4 soll den Eingangswiderstand des Transistorverstärkers nachbilden, der beim Auftrennen
natürlich entfällt. Mit R4 simuliert man dann die Belastung des Transistors. Zur Transientenanalyse
wird mit VSTM ein kurzer Impuls auf den Transistor gegeben, damit die Schaltung anschwingt. Da die
Quelle einen Innenwiderstand von 0 Ohm hat, liegt R2 für den Rest der Simulation an Masse. Die
Netzliste befindet sich am Ende des Kapitels.
Meissneroszillator nach Ehrhardt
1
20
2
100
(457.088k, 3.8249)
Phasengang
50
0
0
-20
Amplitudengang
-50
-40
-100
-60
-150
1.0kHz
10kHz
vdb (11)
100kHz
vp (11)
1.0MHz
0
Frequency
Bild 10.3: Amplituden- und Phasengang des Meißneroszillators mit aufgetrennter Rückkopplung
276
10MHz
Man findet eine maximale Verstärkung von 3.8249dB (linear 1.55) für eine Frequenz von 457 kHz. Bei
dieser Frequenz ist die Phasenverschiebung zwischen Eingangsspannung und Ausgangsspannung
gerade 0 Grad. Schließt man die Rückkopplungsschleife wieder, so sollte der Oszillator mit dieser
Frequenz schwingen, da die Verstärkung größer als 1 ist. Dies ist jedoch nicht der Fall, wie Bild 10.5
zeigen wird.
Ein anderes Verfahren, die Schwingbedingung zu überprüfen, ergibt sich aus dem Ausdruck
v=
vD
1− k * vD
Damit die Schwingbedingung erfüllt ist, muß der Realteil von k*vD gleich 1 und der Imaginärteil gleich
Null sein. Dies kann man aus der Ortskurve der Schleifenverstärkung k*vD ermitteln (Bild 10.4).
Meissneroszillator nach Ehrhardt
1.0
0.5
1MHz
1V+j0V
x
0
468kHz
100kHz
-0.5
-1.0
0V
0.4V
vi (11)
0.8V
1.2V
1.6V
0
vr(11)
Bild 10.4: Ortskurve der Schleifenverstärkung k*vD
Das Bild zeigt, daß für eine Frequenz von etwa 468 kHz der Imaginärteil der Ausgangsspannung zu
Null wird. Der Realteil beträgt etwa 1.58 Volt. Bei einer Eingangsspannung VIN von 1 Volt erhält man
einen Verstärkungsfaktor von 1.58.
Es muß gelten
k * vD ≥ 1
Wenn k*vD größer als 1 ist, so wird der Oszillator eine verzerrte Ausgangsspannung liefern. Die Aussteuerung des Verstärkers wäre dann insgesamt derart, daß die resultierende Verstärkung mit allen
Verzerrungen gleich 1 wäre. Man kann die Schwingbedingung auch folgendermaßen definieren:
277
Eine rückgekoppelte Schaltung schwingt dann und nur dann, wenn die Ortskurve der
Schleifenverstärkung den Punkt 1+j0 umschlingt oder berührt. Dies ist im Bild 10.4 für die
simulierte Kurve der Fall. Wiese die Schleifenverstärkung den gestrichelt eingezeichneten
Verlauf auf, so würde der Oszillator nicht anschwingen. Dies ist das Nyquist-Kriterium.
Da es sich bei den Simulationen von Bild 10.3 und Bild 10.4 um die gleiche Schaltung handelt, müßte
man eigentlich für beide Simulationen die gleiche Schwingfrequenz erhalten. Dies ist offensichtlich
nicht der Fall. Beim Bild 10.3 wurde mit 50 Frequenzpunkten pro Dekade simuliert, im Bild 10.4 mit
200 Frequenzpunkten pro Dekade. Das Ausgabeprogramm interpoliert die Kurve zwischen den
Frequenzpunkten mit Geradenstücken. Mit anderen Worten: Bei 50 Frequenzpunkten pro Dekade hat
der Simulator die maximale Ausgangsspannung nicht simuliert, da der zugehörige Frequenzpunkt
nicht zu den simulierten gehörte. Wahrscheinlich gilt dies auch für die zweite Simulation mit 200
Frequenzpunkten pro Dekade, das Ergebnis ist aber in jedem Fall genauer als das von Bild 10.3.
Eine Transientenanalyse soll die obigen Überlegungen verifizieren (Bild 10.5).
Meissneroszillator nach Ehrhardt
24V
20V
f = 433kHz
16V
12V
8V
4V
0V
35us
V (COLL)
40us
45us
50us
55us
60us
65us
70us
Time
Bild 10.5: Transientenanalyse des Meißneroszillators (Anschwingen)
Die Schwingfrequenz beträgt ungefähr 433kHz, gemessen an den Spitzenwerten der beiden letzten
Schwingungen, die Amplitude der Ausgangsspannung ist mehr als doppelt so groß wie die Betriebsspannung.. Woher kommt nun die Diskrepanz zwischen 468kHz bei der AC-Analyse und 433 kHz bei
der Transientenanalyse? Nun, bei der AC-Analyse wird die Schaltung grundsätzlich linearisiert und mit
einem linearen Ersatzschaltbild gerechnet, die Transientenanalyse hingegen benutzt das nichtlineare
Transistorersatzschaltbild, berücksichtigt also auch Sättigungseffekte etc. Man findet Sättigungseffekte
an den unteren Spitzen der Ausgangsspannung. Außerdem leitet die Kollektor-Basis-Diode, sobald die
Ausgangsspannung die doppelte Betriebsspannung übersteigt: Es fließt ein Strom und dem Schwingkreis wird Energie entnommen. Eine Energieentnahme in einem Reihenschwingkreis äußert sich in
einem Absinken der Resonanzfrequenz (s. u.).
278
In der Originalschaltung im Buch von Ehrhardt betrug der Widerstandswert des gegenkoppelnden
Widerstandes RE nur 2.6 kΩ statt 5.6 kΩ. Der Transistor wurde noch stärker übersteuert und die
Schwingfrequenz sank auf 350 kHz ab. Man erkennt an diesen Ergebnissen, daß eine Kleinsignalanalyse in vielen Fällen nur Anhaltspunkte liefern kann. In vielen Fällen werden in den Lehrbüchern
jedoch nur die Kleinsignalersatzschaltbilder behandelt, da eine Großsignalanalyse von Oszillatorschaltungen mathematisch sehr aufwendig sein kann, handelt es sich doch um nichtlineare Differentialgleichungen. Deshalb gilt gerade für Oszillatorschaltungen die Regel, daß eine Transientenanalyse
und ein Versuchsaufbau beim Entwurf wichtig sind, da man nur so notwendige Korrekturen vornehmen
kann.
Ich werde nun der Versuch unternehmen, die Großsignalanalyse in aller Kürze zu schildern. Dabei
gehe ich von aktiven Zweipolen mit negativem Innenwiderstand aus. Bild 10.6 zeigt einen Parallelschwingkreis, der mit einem Spannungssprung von 0V auf 1V erregt wird. Der Widerstand R liegt
wechselspannungsmäßig parallel zum Schwingkreis.
jω
s1 X
R
L
ue
C
ua
σ
s2 X
ua
-t/2RC
e
t
Bild 10.6: Sprungantwort eines Parallelschwingkreises und Lage der Polstellen in der s-Ebene
279
Für die Laplace-Transformierte der Ausgangsspannung gilt:
sL
2
L{ua } = L{ue } * 1 + s LC
sL
R+
1 + s 2LC
Für den Sprung von 0 Volt auf U0 findet man die folgende Laplace-Transformierte
L{ue } =
U0
s
Nach einiger Rechnung erhält man für die Ausgangsspannung
L{ua } =
U0
*
RC
L{ua } =
U0
1
*
RC (s − s1 ) * (s − s 2 )
1
1
1
s2 +
*s+
RC
LC
mit
s1, s 2 = −
1
1
1
± j*
−
2RC
LC 4R 2C 2
gilt
s1, s 2 = σ ± jω*0
Dabei ist ω0* die Frequenz, mit der der Schwingkreis schwingt.
ω*o =
1
1
−
LC 4R 2C 2
Ein ungedämpfter Schwingkreis mit R=∞ schwingt mit
ωo =
1
LC
Diese Frequenz ist größer als ω0*. Der Entzug von Energie verringert also, wie oben bereits angedeutet, die Schwingfrequenz. Im allgemeinen ist jedoch der zweite Term so klein, daß er vernachlässigt werden kann. Transformiert man die L{ua} zurück in den Zeitbereich, so erhält man
t
( )
−
U0
2RC
ua (t ) =
*
e
* sin ω0* t
*
RCω0
Dabei handelt es sich um eine sinusförmige Schwingung, die mit der Zeitkonstanten 2RC abklingt.
Dies ist in Bild 10.6 dargestellt. Je größer der Widerstand ist, um so langsamer klingt die Schwingung
ab. Für den Fall, daß R=∞ ist, bleibt die Schwingung erhalten. Nun kann man R nicht unendlich groß
machen, da Verluste unvermeidlich sind und zumindest ein wenig Energie benötigt wird, um angekoppelte Stufen zu steuern.
280
Man kann jedoch einen aktiven Zweipol parallel zum Schwingkreis schalten, der die Verluste wieder
ausgleicht und die Schwingung aufrecht erhält (Bild 10.7).
iges
i
i
u
u
R
L
C
Bild 10.7: Wechselstromersatzschaltbild eines verlustlosen Schwingkreises
Damit dem eigentlichen Schwingkreis keine Energie entzogen werden kann, muß der Strom iges zu
Null werden. Dann muß man die Strom/Spannungskennlinie des aktiven Zweipols so auslegen, daß er
den Strom liefert, den der Widerstand R aufgrund des ohmschen Gesetzes verbraucht. Ein solcher
aktiver Zweipol wird also ein Verstärker sein, der die Energie der Betriebsspannungsquelle in Signalenergie umwandelt. In Bild 10.7 ist eine solche -idealisierte- Kennlinie angedeutet. Innerhalb eines
gewissen Aussteuerbereiches findet man eine linear abfallende Kennlinie, also einen negativen
Widerstand. An den Grenzen des Aussteuerbereiches geht die Kennlinie in die Sättigung.
Dies ist natürlich nur eine idealisierte Darstellung. In Wirklichkeit wird ein Gleichanteil addiert und der
Verlauf des fallenden Teiles der Kennlinie ist nicht gerade, sondern ist verzerrt. Dabei ist die Art der
Verzerrung vom Typ des verwendeten Halbleiters (Tunneldiode, BJT oder FET, bei niedrigen
Frequenzen sind auch NICs mit Operationverstärkern denkbar) und von der Schaltung abhängig. Eine
mathematisch geschlossene Lösung ist nicht möglich, man muß sich im allgemeinen mit numerischen
Lösungsverfahren behelfen. Eine Möglichkeit der näherungsweisen analytischen Lösung bietet die van
der Pol'sche Approximation. Man nähert die Nichtlinearität f(u) durch die kubische Parabel
Fv (u) = −a * u + b * u3
an. Bild 10.8 zeigt den Verlauf der Kurve Fv(u) für a=1/V und B=1/V3.
Fv (u)
u
Bild 10.8: Van der Pol'sche Approximation für den nichtlinearen Teil der Oszillatorschaltung
281
Insgesamt kann man für eine Oszillatorschaltung das folgende nichtlineare Ersatzschaltbild angeben:
A
+
u
-
Verstärker:
Nichtlinearität f(u)
Rückkopplung
N(s)
Bild 10.9: Großsignalersatzschaltbild eines Oszillators
Man erhält folgenden Ausdruck
u + N(s) * f (u) = A
Dabei ist f(u) die nichtlineare Funktion einer Spannung u (eventuell auch eines Stromes i) und N(s) die
lineare Übertragungsfunktion des Rückkopplungsnetzwerkes. A ist eine Konstante und repräsentiert
die Betriebsspannungsquellen. N(s) muß ein Maximum für die Schwingfrequenz f0 aufweisen. Die
Schleifenverstärkung der Anordnung muß bei dieser Frequenz größer als 1 und reell sein. In der Nähe
von f0 sollte der Verlauf von N(s) möglichst stark abfallen, damit nicht die Gefahr besteht, daß der
Oszillator auf einer anderen Frequenz schwingt.
Allgemein sollten folgende Punkte bei der Realisierung eines Sinusoszillators beachtet werden:
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
alle frequenzbestimmenden Bauelemente müssen einen kleinen Temperaturkoeffizienten
haben.
Schwingkreise (LC-Glieder) sollten eine möglichst hohe Güte aufweisen.
die Schaltung sollte so dimensioniert werden, daß die Eingangs- und Ausgangsimpedanzen
der aktiven Elemente die Signalfrequenz möglichst wenig beeinflussen.
eine wirksame Stabilisierung der Arbeitspunkte muß vorgesehen sein.
aktive Bauelemente mit ausreichender Grenzfrequenz vorsehen, eventuell Basis- oder GateSchaltung wählen, da dort die Grenzfrequenz der Transistoren am höchsten ist.
Betriebsspannung stabilisieren.
bei sehr hoher Anforderung an die Frequenzstabilität ist die Schaltung in einem Thermostaten
einzubauen.
Zwischen Oszillator und Last eventuell eine Trennstufe schalten.
hohe Verstärkung und kleine Rückkopplung wählen.
Schleifenverstärkung nicht zu groß wählen (Gefahr der Übersteuerung mit Frequenzabweichung).
Bei der Auswahl des Schaltungskonzeptes richtet man sich nach dem einzustellenden Frequenzbereich, der geforderten Frequenz- und Amplitudenkonstanz und dem zulässigen Klirrfaktor. Die
folgende Aufstellung ist eine Auflistung von Schaltungskonzepten für Sinusoszillatoren.
Für den LC-Oszillator sind zahlreiche Bauformen entwickelt worden. Sein Einsatzbereich umfaßt
Frequenzen von 1 kHz bis 1 GHz, er ist besonders geeignet für hohe Frequenzen (Rundfunkbereich
bis GHz), da dort die notwendigen Spulen ausreichend kleine Abmessungen aufweisen. Solche Oszillatoren verfügen über eine höhere Frequenzstabilität als RC-Generatoren, dafür sind sie nur schwierig
über große Frequenzbereiche abstimmbar. Ihr Klirrfaktor liegt bei 1 - 3 Prozent, die Amplitudenstabilität
bei 3 Prozent.
282
Der RC-Generator ist einfach und preisgünstig aufzubauen. Die Frequenzeinstellung erfolgt durch
Widerstände oder Kondensatoren. Er zeichnet sich durch schnelles Anschwingen aus. Der typische
Frequenzbereich solcher Generatoren reicht von 10 Hertz bis 1 MHz, die Klirrfaktoren betragen etwa 1
- 3 Prozent. Die Amplitudenstabilität liegt in der Größenordnung von 3 Prozent.
Der Wien-Brücken-Oszillator ist eine Spezialform des RC-Generators und liefert Ausgangsspannungen mit einem Klirrfaktor bis hinunter zu 0.01 Prozent. Die Frequenzvariation erfolgt durch
Doppelpotentiometer oder Zweifach-Drehkondensatoren. Sein Einsatzbereich erstreckt sich von 1
Hertz bis 1 MHz; die Amplitudenstabilität liegt bei 1 Prozent. Nachteilig sind die langen Einschwingzeiten.
Quarzoszillatoren jedweder Bauform verfügen über die höchste Frequenzstabilität, sind dafür jedoch
nicht abstimmbar. Ihr Einsatzbereich liegt bei 30 kHz bis 200 MHz, der Klirrfaktor bewegt sich in einer
Größenordnung von 0.1 Prozent, die Amplitudenstabilität beträgt 1 Prozent.
283
10.2.2 LC-Oszillatoren
Bei LC-Oszillatoren erfolgt die Festlegung der Schwingfrequenz über die Amplitudenbedingung. Sie ist
wegen des Einsatzes von Schwingkreisen im Verstärkerteil oder in der Rückkopplung nur für eine
Frequenz erfüllt.
10.2.2.1 Meißner-Oszillator
Der Meißner-Oszillator wurde bereits 1913 entwickelt. Auch heute ist er noch eine beliebte Oszillatorschaltung, da die Variation der Frequenz recht einfach durch einen Drehkondensator oder eine Kapazitätsdiode erfolgen kann. Bild 10.10 zeigt das Schaltbild eines Meißneroszillators in Emitterschaltung
sowie dessen Kleinsignalersatzschaltbild. Man kann einen solchen Oszillator auch in Basis- oder
Kollektorschaltung aufbauen, dann ist das Kleinsignalersatzschaltbild jedoch anders. Gerade bei
hohen Frequenzen empfiehlt es sich, die Basis- oder die Gate-Schaltung anzuwenden, da dort die
Grenzfrequenz der eingesetzten Halbleiter am größten ist. Diese Aussage gilt für alle besprochenen
Oszillatorschaltungen.
+UB
R1
w2 w1
L
R2
C
u2
u1
C1
RE
CE
iB
u1
rBE
rCE L
C
u2 w1
w2
rBE
u3 = ü*u2
ß*iB
iB
u1
rBE
rCE L
C
ß*iB
2
rBE / ü
u2
Bild 10.10 Meißneroszillator und Kleinsignalersatzschaltbild
Die Widerstände R1, R2 und RE dienen der Arbeitspunkteinstellung des Transistors; RE stabilisiert
diesen Arbeitspunkt. Die Kondensatoren C1 und CE fungieren als Kurzschlüsse für die Oszillatorfrequenz. Der frequenzbestimmende Schwingkreis besteht aus der Spule L und dem Kondensator C.
Die Rückkopplung erfolgt über eine Sekundärwicklung der Spule L. Man nennt diese Rückkopplung
auch "transformatorische Rückkopplung".
284
Das Übersetzungsverhältnis der Übertragers ist
ü=
w2
w1
Der Verstärker verursacht eine Phasendrehung von 180° zwischen der Eingangsspannung und der
Ausgangsspannung; durch die Phasendrehung der Spule wird die Phasenbedingung erfüllt. Die
Amplitudenbegrenzung erfolgt durch zwei Effekte: Bei hohen positiven Werten der Kollektorspannung
UBE setzt eine Gleichrichtung über die Basis-Emitter-Diode ein. Die Folge ist, daß die Basis-EmitterSpannung absinkt und damit auch die Schleifenverstärkung. Die hier auftretende Gleichrichtung nennt
man Spitzenwertgleichrichtung, die Schleifenverstärkung wird durch die Amplitude der rückgekoppelten Wechselspannung geregelt. Der zweite regulierende Effekt ist das Öffnen der Kollektor-BasisDiode, wenn am Schwingkreis eine zu hohe negative Spannung anliegt (u2 = -UB).
Es soll nun die Schleifenverstärkung des Meißneroszillators berechnet werden. Dazu wird die Schaltung unmittelbar an der Basis aufgetrennt. Um realistisch rechnen zu können, muß man aber den
Eingangswiderstand rBE des Transistors noch einmal auf der Sekundärseite des Übertragers berücksichtigen, da dieser Widerstand den Transistor zusätzlich belastet. Vereinfachend sei hier angenommen, daß der Übertrager, welche aus Schwingkreisspule und Sekündärwicklung besteht, ideal ist.
Dann kann man, wie im Bild 10.10 ganz unten angedeutet, den Übertrager durch den transformierten
Widerstand rBE/ü2 ersetzen.
Analysiert man den Kollektorknoten, so erhält man:
 1 ü2

1
ß * iB = −u2 * 
+
+
+ jω C 
 rCE rBE jω L

Mit
iB =
u1
rBE
erhält man
ß*
 1 ü2

u1
1
= −u2 * 
+
+
+ jω C 
rBE
 rCE rBE jω L

Nun ist u2 gleich u3/ü. Faßt man Real- und Imaginärteile des obigen Klammerausdruckes zusammen,
so erhält man
ß
u
* u1 = − 3
rBE
ü
 r + ü2rCE
ω2LC − 1

*  BE
+j
ω L 
 rBE * rCE
Der Ausdruck für die Schleifenverstärkung g lautet dann
g=
u3
ü*ß
1
=−
*
2
u1
rBE  rBE + ü rCE
ω2LC − 1


+j
ω L 
 rBE * rCE
285
Wird durch Gleichrichtung an der Basis-Emitter-Strecke die Basis-Emitter-Spannung kleiner, so wird
rBE größer und die Schleifenverstärkung sinkt ab. Die Schwingbedingung lautet g=k*v=1 oder aufgelöst in Real- und Imaginärteil:
g = k * v = 1+ j 0
Das negative Vorzeichen im Ausdruck für g kann man dadurch eliminieren, daß man die Anschlüsse
der Sekundärwicklung des Übertragers vertauscht. Soll obiger Ausdruck jedoch reell werden, so muß
der Imaginärteil des Nenners verschwinden:
ω0 LC − 1 = 0
2
:oder
ω0 =
1
LC
Dazu ist wichtig, daß die Kapazität C die Kollektor-Basis-Kapazität und die transformierte BasisEmitter-Kapazität noch mit einschließt. Sind diese Kapazitäten klein gegenüber der Kapazität des
Schwingkreiskondensators, so kann man sie vernachlässigen. Variiert man die Kapazität um den
Faktor X, so ändert sich die Schwingfrequenz nur um den Faktor √X.
Somit finden wir für die Schleifenverstärkung bei der Resonanzfrequenz:
1=
ü * ß rBE * rCE
*
rBE rBE + ü2rCE
Für das Übersetzungsverhältnis erhalten wir dann:
rBE + ü2rCE = ü * ß * rCE
ü2 * rCE − ü * ß * rCE + rBE = 0
Dies ist eine quadratische Gleichung, die Lösungen sind.
ü1,2
ß
ß 2 rBE
= ±
−
2
4 rCE
Die erste Lösung ist
ü1 ≈ ß
Wenn ß etwa 100 ist, so bedeutet dies, daß die Sekundärwicklung die 100-fache Windungszahl aufweisen muß wie die Schwingkreisspule. Dies ist vom elektrischen Standpunkt her sinnlos. Die zweite
Lösung lautet
ü2 =
ß
ß 2 rBE
−
−
2
4 rCE
286
Mit
1− x ≈ 1−
x
2
finden wir dann als Lösung
ü2 =
rBE
ß * rCE
Um ein sicheres Anschwingen zu gewährleisten, wählt man den Rückkopplungsfaktor etwas größer als
oben berechnet. Durch die Arbeitspunktverschiebung stellt sich der gewünschte Arbeitspunkt bei
relativ geringen Verzerrungen ein.
Meissneroszillator nach Ehrhardt RE=2.6K
1.0V
501.766mV
571.766mV
0.5V
UBE
UBE
0V
-0.5V
0s
5us
10us
V (BASIS) - V (EMITTER)
15us
20us
25us
30us
35us
40us
AVG (#1)
Time
Bild 10.11: Verschiebung der Basis-Emitterspannung nach dem Anschwingen
Als Beispiel wird der in Bild 10.2 dargestellte Meißneroszillator noch einmal etwas näher untersucht.
Diesmal wurde jedoch der Emitterwiderstand auf 2.6 kΩ verringert, um deutliche Verzerrungen zu
erhalten. Bild 10.11 zeigt die Basis-Emitterspannung und ihren zeitlichen Mittelwert. Der Oszillator
schwingt wesentlich schneller an als bei einem Emitterwiderstand von 5.6 kΩ. Deutlich erkennt man,
daß nach der Anschwingphase die Basis-Emitter-Gleichspannung von etwa 572 mV auf 502 mV abgesunken ist. Dieser Effekt ist auf die Gleichrichtung an der Basis-Emitter-Strecke zurückzuführen. Durch
den Stromfluß während der positiven Halbwelle bricht die Basis-Emitterspannung zusammen und die
positive Halbwelle ist nicht so stark ausgeprägt wie die negative Halbwelle. Dadurch bekommt die
Wechselspannung einen negativen Gleichanteil, der sich als Arbeitspunktverschiebung auswirkt. Diese
Form der Arbeitspunkteinstellung nennt man auch "Audion-Stabilisierung", benannt nach der
einfachsten Empfängerschaltung, dem Audion.
Die Ausgangsspannung beträgt mehr als das Zweifache der Betriebsspannung. Dies kommt durch den
Schwingkreis zustande: Bei einem gegebenen Kollektorstrom entsteht eine hohe Spannung. Kurz
nachdem die Spannung den Wert der doppelten
Betriebsspannung überschritten hat, öffnet die
287
Kollektor-Basis-Diode und es fließt ein negativer Kollektorstrom, der den Schwingkreis belastet.
Andererseits wird durch die große Aussteuerung der Transistor in den Sättigungszustand getrieben, in
dem er in jeder Periode relativ lange verbleibt. Der Kollektorstrom ist extrem nichtlinear und enthält
viele Oberwellen. Der Schwingkreis filtert jedoch die meisten Oberwellen aus, so daß die Kollektorspannung weniger verzerrt erscheint.
Meissneroszillator nach Ehrhardt RE=2.6K
1
30V
2
1.0mA
UCE
25V
20V
IC
20V
0.5mA
15V
10V
0mA
0A
5V
0V
-0.5mA
32.822us
34.000us
V (COLL)
36.000us
20
IC (Q1)
38.000us
40.000us
0
Time
Bild 10.12: Ausgangsspannung und Kollektorstrom des Oszillators
Bild 10.13 zeigt eine Variante des Meißneroszillators, bei der sich der Schwingkreis nicht im
Ausgangskreis des verstärkenden Elementes, sondern in dessen Eingangskreis befindet. Es ist der
sogenannte "Tuned-Gate-Oszillator".
+UB
C
L
T1
RS
CS
Bild 10.13: Tuned-Gate-Oszillator
288
Diese Schaltung ist jedoch nur für Feldeffekttransistoren sinnvoll, da der niedrige Eingangswiderstand
von Bipolartransistoren den Schwingkreis sonst zu stark bedämpfen würde.
10.2.2.2 Dreipunktschaltungen
Bild 10.14 zeigt eine wichtige Klasse von Oszillatoren, die Dreipunktschaltungen. Der Name
"Dreipunktschaltung" rührt daher, daß der Schwingkreis an drei Punkten angezapft ist. Grundsätzlich
gilt für den allgemeinen Fall:
- Z1 und Z2 müssen gleiches Vorzeichen haben
- Z3 muß entgegengesetztes Vorzeichen haben
Man unterscheidet zwischen der induktiven Dreipunktschaltung und der kapazitiven Dreipunktschaltung. Streng genommen liegt eine induktive Dreipunktschaltung nur dann vor, wenn die beiden
Spulen L1 und L2 nicht magnetisch miteinander gekoppelt sind. Handelt es sich bei den Spulen um
eine Spule, die angezapft ist, so spricht man von einem Hartley-Oszillator. In der Praxis wird jedoch
nicht zwischen beiden Varianten unterschieden. Die Schaltung mit Kondensatoren nennt man
Colpitts-Oszillator.
Z2
L2
Z3
C2
C
Z1
L
L1
C1
Bild 10.14: Prinzipschaltbild der Dreipunktschaltung, allgemein, induktiv und kapazitiv
Umfangreiche Rechnung führt zu folgendem Ergebnis für die Schleifenverstärkung:
(Z Z
1
− Zm
2
2
)
(
)
ß
2
rera Z 2 * Z1 Z 2 − Z m − Z 3 Zm
rBE
2
2
* [(re + Z 3 )(ra + Z 2 ) + ra Z 2 ] + rera * (Z 2 + Zm ) + Z 3 * re Z 2 * (ra + Z 2 ) + ra Z m
−
[
]
Dabei ist Zm die Gegeninduktivität zwischen den Spulen L1 und L2 (natürlich nur bei der induktiven
Dreipunktschaltung). Für die Einzelschaltungen gilt dann folgende Zuordnung:
Induktive Dreipunktschaltung:
Z1 = jω L1; Z 2 = jω L 2 ; Z m = jω M = jω L1 L 2 ; Z 3 =
Kapazitive Dreipunktschaltung:
Z1 =
1
1
; Z2 =
; Z m = 0; Z 3 = jω L
jω C1
jω C 2
289
1
jω C
Für die Schwingfrequenz gilt dann:
f0 ≈
1
2π L 0 C0
Beim Hartley-Oszillator erhalten wir den Ausdruck
L 0 = L1 + L 2 + 2M ; C0 = C
und für den Colpitts-Oszillator gilt
L 0 = L ; C0 =
C1 * C 2
C1 + C 2
In beiden Fällen wird die Phasenbedingung durch die gleichartigen Reaktanzen Z1 und Z2 erfüllt; die
Amplitudenbedingung wird durch das Spannungsteilerverhältnis L1/L2 bzw. C1/C2 erfüllt.
Hartley-Oszillator (induktive Dreipunktschaltung)
Bild 10.15a zeigt den Hartley-Oszillator in Emitterschaltung, Bild 10.15b das dazugehörige Kleinsignalersatzschaltbild.
+UB
L1
R1
iB
C
CK
L2
rCE
rBE
ß * iB
C
L2
L1
RB
RB = R1 II R2
R2
RE
(
RE
M = √ L1*L2
CE)
a)
Bild 10.15 a) Hartley-Oszillator b) Ersatzschaltbild
b)
Beim Hartley-Oszillator wird das Rückkopplungssignal durch Anzapfen der Oszillatorspule gewonnen.
Die Anzapfung wird wechselstrommäßig an Masse gelegt. Damit gelangt der über L1 anliegende Anteil
der Signalspannung über den Koppelkondensator CK an die Basis des Transistors. CK muß dabei so
dimensioniert werden, daß er für die Oszillatorfrequenz einen Kurzschluß darstellt. Die Widerstände
R1 und R2 stellen mit RE den Arbeitspunkt ein. Sieht man CE vor, so sollte dieser Kondensator für die
Oszillatorfrequenz ebenfalls einen Kurzschluß darstellen. Die Selbstinduktivitäten der beiden
Spulenteile betragen L1 und L2, die Gegeninduktivität M ist gleich der Wurzel aus L1*L2:
M = L1 * L 2
Die Berechnung der Rückkopplungsbedingung ist aufwendig, so daß hier nur das Ergebnis dargestellt
wird:
290
Die Amplitudenbedingung wird durch das Spannungsteilerverhältnis L1/L2 eingestellt. Als Zwischenschritt bekommt man
ß
1
L1 1
L2
= *
+ *
rBE re
L 2 ra
L1
Auch hier muß wieder eine quadratische Gleichung gelöst werden. Man erhält zwei Lösungen für
L1/L2:
L1 ß 2 * re2
=
2
L2
rBE
Dieser Lösung entspricht einer sehr starken Rückkopplung und ist physikalisch sinnlos. Die zweite
Lösung hingegen gibt die Verhältnisse richtig wieder:
2
L1
rBE
=
L 2 ß 2ra2
Dabei wurden der Einfachheit halber folgende Abkürzungen benutzt:
re = R B II (rBE + (ß + 1) * R E ) und ra = R C II rCE
Der Term (ß+1)*RE entfällt bei Einsatz von CE. Weiterhin ist mit RC der Fall berücksichtigt, daß ein
Widerstand dem Schwingkreis parallel liegt. Dies muß kein real existierender Widerstand sein, sondern es kann der Verlustwiderstand des Schwingkreises sein.
Für die Resonanzfrequenz gilt
f0 =
1
2π *
(L1 + L 2 + 2M) * C
=
1
2π * L ges * C
oder, aufgelöst nach der Kapazität C:
C=
1
4π * L ges * f 2
2
Für den Fall, daß die Spulen nicht magnetisch gekoppelt sind, setzt man M zu 0.
291
Colpitts-Oszillator (kapazitive Dreipunktschaltung)
Während beim Hartley-Oszillator das Rückkopplungssignal induktiv in den Basiskreis eingekoppelt
wird, wird es beim Colpitts-Oszillator über eine kapazitive Rückkopplung zur Basis geführt (Bild 10.16).
+UB
CK
R1
RC
iB
C2
L
L
C1
rCE
rBE
C2
ß * iB
RB
R2
RE
(
RB = R1 II R2
CE)
RC
RE
a)
Bild 10.16: a) Colpitts-Oszillator sowie b) Kleinsignalersatzschaltbild
C1
b)
Die Schaltung ist der des Hartley-Oszillators sehr ähnlich. Auch hier ist der Rechenaufwand zur
Ermittlung der Phasen- und Amplitudenbedingung recht hoch, so daß hier nur einige Ergebnisse
dargestellt werden:
Als Zwischenergebnis aus der Phasenbedingung erhält man
f0 =
1
L
* 1+
ra * re (C1 + C 2 )
2π LC0
Der Ausdruck im Argument der zweiten Wurzel gibt die Abweichung der Schwingfrequenz vom Idealfall
1/√LC an und ist recht klein. Vernachlässigt man ihn, so erhält man
f0 =
1
2π LC 0
Im Allgemeinen ist jedoch nicht die Schwingfrequenz gesucht, sondern die Werte der Bauelemente L,
C1 und C2. Geben wir bei gegebener Schwingfrequenz die Induktivität L vor, so erhält man für die
resultierende Kapazität C0:
C0 =
C * C2
1
= 1
2
4π Lf0 C1 + C 2
2
Dabei ist die Aufteilung der Gesamtkapazität auf die beiden realen Kondensatoren C1 und C2 noch
unbekannt. Die Berechnung der Bedingung g=1+j0 aus obiger Formel für g ist außerordentlich aufwendig und soll hier nicht weiter beachtet werden. In der Praxis wählt man für das Verhältnis C2/C1
Werte von 0.5 bis 0.2. Zum Erfüllen der Anschwingbedingung muß das Verhältnis größer sein als das
Verhältnis re/ra. Wird C1 vergrößert, so verringert sich die rückgekoppelte Spannung, bis die Schaltung schließlich nicht mehr anschwingt. Durch den geringen Eingangswiderstand der Basisschaltung
liegt das Verhältnis C2/C1 dort im Bereich von 0.5 bis 0.1, wenn die Transitfrequenz fT des Transistor
mindestens dreimal größer ist als die Schwingfrequenz. Ist der Eingangswiderstand der Basis292
schaltung zu klein, so wird der Schwingkreis derart stark belastet, daß die Schaltung nicht mehr
anschwingt, da die Schleifenverstärkung kleiner als 1 geworden ist.
Hartley- und Colpitts-Schaltung wurden hier mit dem Transistor in der Emitterschaltung vorgestellt,
man kann den Transistor jedoch auch in Basisschaltung und in Kollektorschaltung betreiben. Selbstverständlich sind auch Feldeffekttransistoren gut geeignet.
10.2.2.3 Parasitäre Schwingungen
Bild 10.17a zeigt die Grundschaltung eines Colpitts-Oszillators in Basisschaltung, Bild 10.17b hingegen
einen Transistor in Kollektorschaltung, gedacht als Impedanzwandler.
+UB
R1
C2
L
R1
C1
L
C2 = CBC
+UB
R2
CS
CIN
~
RE
C1 = CCE
R2
a
Bild 10.17: Colpitts-Oszillator in Basisschaltung und Impedanzwandler
RE
b
In den Kollektorkreis des Impedanzwandlers ist eine Induktivität eingezeichnet. Diese Induktivität ist die
Zuleitungsinduktivität der Verbindung zur Betriebsspannungsquelle. Die Kapazität C1 ist die parasitäre
Kapazität des Transistors und der Leiterbahnen. Über den hier als gering angenommenen Widerstand
der Signalquelle und die Kapazität CIN ist die Basis wechselspannungsmässig mit Masse verbunden
und damit auch mit den Zuleitungen der Betriebsspannung. Der Kondensator C2 kann damit durch die
parasitäre Kapazität CBC des Transistors und der zugehörigen Leiterbahnen in Reihe mit CIN ersetzt
werden. Damit ist ein Colpitts-Oszillator entstanden. Bild 10.18 zeigt das Ergebnis einer PSpice-Simulation.
Die Eingangsspannungsquelle lieferte eine Eingangsspannung von 7 Volt bei einer Frequenz von
einem Kilohertz. Wegen der großen Datenmenge kann hier nur ein Ausschnitt aus der Sinusschwingung der Ausgangsspannung am Emitter dargestellt werden. Man erkennt, daß im unteren
Bereich der Amplitude der Ausgangsspannung eine "Unsauberkeit" auftritt. Genaueres Hinsehen läßt
eine Schwingung von etwa 890 kHz erkennen. Diese Schwingung ist auf den parasitären ColpittsOszillator zurück zu führen. Die Schwingbedingung wird nur während eines kleinen Abschnittes der
Ausgangsspannung erreicht. Die für die Simulation angenommene Induktivität von 5mH ist für die
Praxis viel zu groß, mußte hier aber aus Gründen der Rechenzeit und der Datenmenge angenommen
werden. In der Praxis sind die Frequenzen vielfach höher. Weiterhin ist das Funktionieren des
Oszillators von der äußeren Beschaltung abhängig: Wählen wir einen anderen Innenwiderstand der
Signalquelle, so hören die Schwingungen auf.
Solche Oszillationen äußern sich als Verzerrung einer Ausgangsspannung, Änderung des Offsets
eines Operationsverstärkers und andere, scheinbar unerklärliche Effekte. Berührt man den Kollektor
mit dem Tastkopf eines Oszillographen (oder auch nur mit dem Finger), so hören die Schwingungen
293
auf und das Oszillographenbild ist einwandfrei. Es ist, als wolle die Schaltung den Entwickler ärgern.
Grund sind zu lange Zuleitungen, induktive Kopplungen (Hartley-Oszillator) usw., die man nur durch
sorgsamen Schaltungsaufbau in den Griff bekommt.
Parasitaerer Colpitts-Oszillator
10V
5V
0V
300us
400us
V (EMMI)
500us
600us
700us
750us
Time
Bild 10.18: Parasitäre Schwingungen bei der Kollektorschaltung (Emitterfolger)
10.2.2.4 Quarzoszillatoren
Bei hohen Anforderungen an die Konstanz der Oszillatorfrequenz benutzt man Quarzoszillatoren. Mit
ihnen lassen sich Frequenzabweichungen in der Größenordnung von
∆f
= 10 − 4...10 −9
f0
erzielen. Man baut Quarze entweder in den Rückkopplungszweig oder als Ersatz für den Schwingkreis
ein.
Quarze bestehen aus Siliziumdioxid (SiO2) und werden heute nicht mehr aus Bergkristall gewonnen,
sondern synthetisch hergestellt. Je nach Schnittwinkel zwischen den Kristallachsen und den Elektroden unterscheidet man zwischen Biege-, Flächen-, Dehnungs- und Dickenschwingern. Die Quarzscheibe befindet sich zwischen zwei metallischen Elektroden. Legt man eine Spannung an diese
Elektroden, so entstehen im Quarz aufgrund seiner piezoelektrischen Eigenschaften mechanische
Schwingungen. Man stellt Quarze im Frequenzbereich von 30 kHz bis etwa 40 MHz her.
294
Schnitt
X+5°
X+5°
GT
DC/CT
AT
AT
mech. Schwingungsform
Biegungsschwinger (3pol.)
Dehnungsschwinger (Stab)
Dehnungs- und Flächenschwinger
Flächenschwinger
Dickenschwinger (Grundwelle)
Dickenschwinger (Oberwelle)
Frequenzbereich
1..50kHz
50..200kHz
60..150kHz
150..500kHz
300kHz..20MHz
über 20MHz
Bei 20MHz ist die Dicke der Quarzscheibe kleiner als 0.1mm, so daß hier die Grenze der mechanischen Stabilität erreicht ist. Elektrisch verhalten sich Quarze wie Schwingkreise mit sehr hoher Güte,
das Ersatzschaltbild für einen Quarz zeigt Bild 10.19
jX
L
R
induktiv
~
~
Cr
fs
fp
f
Cp
kapazitiv
a)
b)
Bild 10.19: a) Ersatzschaltbild für einen Quarz und b) Lage der Resonanzfrequenzen
Wir finden eine Reihenschaltung aus einer Reihenkapazität Cr, einer Spule L und einem Widerstand
R. Der Widerstand gibt die dielektrischen Verluste im Quarz wieder. Parallel zu dieser Anordnung liegt
noch eine Parallelkapazität Cp, welche die parasitären Kapazitäten der Halterung (und der
Verdrahtung auf de Leiterplatte) darstellt. Als Anhaltspunkte für die Größenordnung der Werte des
Ersatzschaltbildes sind die Bauelementewerte für zwei verschiedene Quarze angegeben:
L
Cr
Cp
R
Q
1MHz-Quarz
2.533H
0.01pF
5pF
50Ω
3*105
4.1MHz-Quarz
100mH
0.015pF
5pF
100Ω
2.5*104
Die wesentlichen Merkmale eines Quarzes sind:
- ein großes Verhältnis L/Cr (Quadrat des "Kennwiderstandes") und damit verbunden eine
L
Cr
- hohe Güte Q =
R
- Cr << Cp
- hohe Frequenzstabilität df/f0 von 10-4 bis 10-9
295
Der Ausdruck für den komplexen Widerstand der obigen Ersatzschaltung lautet:
Z=
1
jω Cp +
1
R + jω L + 1/ jωCr
Wenn man den Widerstand R vernachlässigt, erhält man:
Z=j
ω2LCr − 1
ω C p + C r − ω2 C p C r L
(
)
Man findet zwei Resonanzfrequenzen, eine für Z=0 und eine für Z= ∞. Die Serienresonanzfrequenz
fs =
1
2π LCr
wird durch das gut definierte Produkt L*Cr bestimmt und ist deshalb unempfindlich gegen parasitäre
Kapazitäten. Die Parallelresonanzfrequenz bezieht Cp mit ein:
fp =
1
2π LC*
mit C* =
Cr * C p
Cr + Cp
Da C* kleiner als Cr ist, ist fP > fS. Cp ist die parasitäre Kapazität der Quarzelektroden und der Platine
und deshalb nicht exakt definiert. Beide Frequenzen liegen um so enger zusammen, je größer der
Unterschied zwischen Cr und Cp ist. Beim oben angeführten 1 MHz-Quarz erhalten wir:
fs = 1.000 005 MHz
fp = 1.001 005 MHz
Der Unterschied beträgt also 0.1% . Nun könnte man versucht sein, durch Parallelschaltung eines
zusätzlichen Kondensators zum Quarz den Frequenzunterschied noch kleiner zu machen. Hier besteht
jedoch die Gefahr, daß durch eine zu hohe Parallelkapazität die Rückkopplungsbedingung für andere
Frequenzen als die Quarzfrequenz erfüllt ist (der Quarz somit praktisch kurzgeschlossen wird).
Deshalb ist ein solches Vorgehen nicht anzuraten. Ein guter Test dafür, ob Cp zu groß ist, besteht
darin, einen Kondensator mit der Kapazität Cp anstelle des Quarzes in die Schaltung einzubauen.
Schwingt der Oszillator ohne den Quarz, so ist die Kapazität zu groß.
Den tatsächlichen Verlauf der Impedanz in der Nähe der Resonanzfrequenz zeigt Bild 10.20 mit den
elektrischen Werten für den obigen 4.1MHz-Quarz. Zwischen den Resonanzfrequenzen verhält sich
der Quarz wie eine Induktivität, an den anderen Stellen wie eine Kapazität. Im obigen Ersatzschaltbild
ist eine Tatsache nicht wiedergegeben: Aufgrund seiner mechanischen Eigenschaften findet man weitere Serienresonanzfrequenzen bei 3fs, 5fs, 7fs und höheren ungeradzahligen Vielfachen der Grundfrequenz..
296
Durchlasskurve eines Quarzes
1.0M
fs = 4.1094MHz
fp = 4.1155MHz
0
-1.0M
-2.0M
-3.0M
4.105MHz
4.110MHz
-IMG (V(IN)/I(VIN))
4.115MHz
4.120MHz
4.125MHz
4.130MHz
0
Frequency
Bild 10.20: Resonanzverhalten des 4.1MHz-Quarzes
Gelegentlich besteht die Notwendigkeit, die Frequenz des Oszillators geringfügig zu ändern (die
Frequenz zu "ziehen"). Dies läßt sich durch einen Trimmkondensator Cs in Reihe zum Quarz ermöglichen (Bild 10.21)
Cs Quarz
Bild 10.21: Änderung der Resonanzfrequenz eines Quarzes
Die neue Resonanzfrequenz beträgt
fs* = fs * 1 +
Cr
Cr + C s
In Quarzoszillatoren kann der Quarz entweder in Serien- oder in Parallelresonanz betrieben werden.
Im ersten Fall ist seine Impedanz sehr klein, im zweiten Fall hingegen sehr groß. Die bessere
Frequenzstabilität erhält man allerdings beim Betrieb in Serienresonanz. Betreibt man einen Quarz in
Serienresonanz, so nutzt man die Tatsache aus, daß er eine sehr geringe Impedanz für eine
bestimmte Frequenz aufweist. Er muß dann im Rückkopplungszweig liegen. Betreibt man einen Quarz
in Parallelresonanz, so wirkt er wie die Induktivität eines Schwingkreises. Deshalb muß ihm noch eine
"Schwingkreiskapazität" parallel geschaltet werden. Diese Kapazität nennt man "Bürdekapazität" und
muß bei der Bestellung des Quarzes angegeben werden.
297
Schaltungstechnisch lassen sich viele Möglichkeiten zum Aufbau von Quarzoszillatoren verwirklichen.
Beispielsweise können Meißner-, Hartley- und Colpitts-Oszillator mit einem zusätzlichen Quarz
ausgestattet werden. Bild 10.22 zeigt einen Hartley- und einen Colpitts-Oszillator mit einem zusätzlichen Quarz in der Rückkopplungsleitung. Die Transistoren werden in Basisschaltung betrieben.
+UB
+UB
L1
R1
C
R1
L2
C2
L
C1
Q
Q
Cs
Cs
R2
R2
CB
CB
RE
RE
Bild 10.22: Hartley- und Colpitts-Oszillator mit Quarzstabilisierung
Da die Anschlüsse für die positive Betriebsspannung wechselspannungsmässig an Masse liegen,
findet man beim Colpitts-Oszillator auch manchmal den Schwingkreis zwischen Kollektor und Masse
(auch ohne Quarzstabilisierung). In beiden Schaltungen des Bildes 10.22 arbeitet der Quarz in Serienresonanz. Dabei ist es auch möglich, den Quarz auf einer ungeradzahligen Oberwelle der Quarzresonanzfrequenz fs zu betreiben. Dann muß allerdings der Schwingkreis auf diese Oberwelle abgestimmt werden. Dies wird oft durchgeführt, da Quarze für hohe Frequenzen nur schwer einstellbar
sind. Solche Schaltungen nennt man Oberwellenoszillatoren. Bis etwa 90 MHz erregt man den Quarz
auf der dritten Harmonischen, darüber bis 150 MHz auf der fünften und darüber auf der siebten
Oberwelle.
Bild 10.19b zeigt, daß der Scheinwiderstand lediglich zwischen den Resonanzfrequenzen fs und fp
induktiv ist, ansonsten immer kapazitiv. Es ist möglich, das induktive Verhalten des Quarzes auszunutzen und Oszillatoren zu bauen, bei dem der Quarz als Induktivität wirkt. Dann arbeitet der Quarz in
Parallelresonanz. Ein Beispiel dafür ist der Pierce-Oszillator (Bild 10.23), eine Dreipunktschaltung, bei
der die Induktivität L durch den Quarz ersetzt wird.:
+UB
R1
RC
uL
Q
u1
C1 Cs
ua
us
R2 RE
Bild 10.23: Pierce-Oszillator
298
CE
Die resultierende Kapazität C*, welche zusammen mit der Induktivität des Quarzes den Schwingkreis
bildet, ist
C* =
C1 * C 2
C1 + C 2
Die Rückkopplung erfolgt über den Kollektor. Die Spannung uL am Quarz ist gleich der Summe der
Spannungen u1 und us. Durch den Masseanschluß am Verbindungspunkt der beiden Kondensatoren
wird die Phasenbedingung erfüllt: u1 und us sind phasenverschoben. Dies gilt bei kleinem Erregerstrom und großem Schwingkreisstrom. Die Oszillatorfrequenz liegt zwischen fs und fp, denn nur hier
weist die Impedanz des Quarzes induktives Verhalten auf.
Die Schaltungstechnik von Oszillatoren ist sehr vielfältig, so daß hier nur einige Beispiele dargestellt
werden konnten. In vielen Fällen reichen bereits die parasitären Kapazitäten der Halbleiter aus, um die
Rückkopplungsbedingung zu erfüllen. Diese Kapazität erscheint dann natürlich nicht mehr im Schaltbild des Oszillators, so daß dies zu Verwirrungen führen kann.
10.2.3 RC-Generatoren
Bei LC-Oszillatoren ist die Amplitudenbedingung nur für die Resonanzfrequenz des Schwingkreises
bzw. Quarzes erfüllt. Der Verstärkungsfaktor von RC-Generatoren hingegen ist weitgehend unabhängig von der Frequenz; die Generatorfrequenz wird durch die Phasenbedingung festgelegt. Die
eingesetzten Verstärker müssen daher ein besonders lineares Übertragungsverhalten aufweisen,
damit der Anteil an Oberwellen möglichst gering ist. Das Phasenverhalten des Rückkopplungsnetzwerkes bestimmt die Frequenz: Bei einem invertierenden Verstärker muß die durch die Rückkopplung verursachte Phasendrehung 180° betragen, w eil die Phasendrehung durch den Verstärker
selbst auch 180° beträgt. Ohne Amplitudenstabilisie rung ist die Ausgangsspannung von RC-Oszillatoren stärker verzerrt als bei LC-Oszillatoren. Dies liegt daran, daß RC-Glieder nicht so selektiv sind
wie Schwingkreise. Man setzt solche Oszillatoren im Bereich niedriger Frequenzen (etwa <10kHz) ein,
da Spulen für diesen Frequenzbereich unhandlich und teuer sind. Überhaupt ist der Wegfall von
Spulen eines der Hauptargumente für den Einsatz solcher Oszillatoren. Die erforderlichen RC-Netzwerke kann man bei Bedarf in Dickschicht- oder Dünnschichttechnologie fertigen.
10.2.3.1 Phasenschiebergenerator
Bild 10.24 zeigt zwei Oszillatoren, deren Rückkopplungsnetzwerk aus einer Hochpaßkette besteht.
R2
+UB
RD
R1
C
_
C
C
OUT
+
R
C
Rx
C
R
C
RS
R
R
CS
R
a)
b)
Bild 10.24: a) RC-Generator mit OP und Hochpaßkette b) RC-Generator mit JFET und Hochpaßkette
299
Zu beachten ist hier, daß die Parallelschaltung aus R1 und Rx gleich R sein muß. Die Übertragungsfunktion des Rückkopplungsvierpols in der vorstehenden Schaltung ist
u2
=
u1
1
2
2

 1 
 1  
1

1 − 5 * 
* 6 − 

 − j
ω RC 
 ω RC 
 ω RC  

Dabei ist u2 die Spannung am Ausgang des Vierpols und u1 die Spannung am Eingang. Für
1
= 6
ω0RC
wird der Nenner reell. Man erhält somit für die Schwingfrequenz
f0 =
1
2πRC * 6
Dann ist u2/u1= - 1/29 und die Phasenverschiebung beträgt somit 180°. Die Abschwächung des
Rückkopplungsnetzwerkes ist 29, so daß die Verstärkerschaltung eine Verstärkung von 29 aufweisen
muß, um diese Verluste auszugleichen. Diese Forderung ist mit einem Transistor nur schlecht zu
erreichen. Statt einer Hochpaßkette kann man auch eine Tiefpaßkette verwenden. Die Abschwächung
dieser Kette bei der Resonanzfrequenz beträgt ebenfalls 29, so daß auch hier der Verstärker eine
Verstärkung von 29 haben muß. Die Phasenverschiebung ist (im Gegensatz zur Hochpaßkette) -180°.
Die Resonanzfrequenz beträgt hier jedoch
f0 =
6
2πRC
Bild 10.25 zeigt eine Hochpaßkette, eine Tiefpaßkette sowie das Zeigerdiagramm für den Hochpaß..
u1
C
C
C
R
R
R
R
u2
u1
R
C
R
C
C u2
j*Img{u}
uR1 = 366mV, 68°
u1
C
C
C
R1
R2
R3
u2
uR2 = 91mV, 124°
u1 = 1V, 0°
u2 =34mV, 180°
Re{u}
Bild 10.25: Hochpaß- und Tiefpaßkette
300
Wir müssen beachten, daß u1 die Ausgangsspannung des Verstärkers und damit die Eingangsspannung der Kette ist. Analoges gilt für u2. Bei der Tiefpaßkette besteht eine galvanische Kopplung
zwischen Eingang und Ausgang. Falls die Schaltung es erfordert, muß man mit einem ausreichend
groß bemessenen Koppelkondensator diesen Gleichstrompfad auftrennen.
Es ist nicht zwingend erforderlich, daß alle Widerstände und Kondensatoren des Rückkopplungsvierpols die gleichen Werte haben, lediglich die Berechnung der Schwingfrequenz ist wesentlich einfacher. Setzt man statt eines Operationsverstärkers mit fast idealen Eigenschaften diskrete Halbleiter
ein, so muß man deren Eingangs- und Ausgangswiderstand mit einbeziehen. Dazu kann man folgende
Überlegungen anstellen: Für sehr hohe Frequenzen fungieren die Kondensatoren der Hochpaßkette
als Kurzschlüsse. Dann sind alle drei Widerstände der Hochpaßkette parallel geschaltet und die
Eingangsimpedanz der Kette ist dann gleich R/3. Damit der Ausgangswiderstand des Transistorverstärkers keinen Einfluß hat, muß gelten:
R
3
ra ≈ R C <<
Der Eingangswiderstand eines Transistors ist
re = rBE + (ß + 1) * R E
Nun gibt es zwei Möglichkeiten: Wir wählen den Widerstandswert R so, daß der dritte Widerstand R
entfallen kann und durch den Eingangswiderstand re des Transistors ersetzt wird. Wegen der
Arbeitspunktabhängigkeit von rBE muß man jedoch mit starken Nichtlinearitäten rechnen. Die andere
Möglichkeit ist die, daß der Eingangswiderstand re so groß ist, daß er keine Rolle mehr spielt. Dies
bedeutet aber einen großen Widerstand RE. Da der obere Grenzwert für die Verstärkung einer gegengekoppelten Emitterschaltung gleich
vu =
RC
RE
ist, kann man diese Forderung mit einem Transistor kaum erfüllen. Bei FETs ist der Eingangswiderstand jedoch sehr groß. Das Ausgangssignal kann man sowohl am Eingang der Ketten als auch um
den Faktor 29 abgeschwächt an deren Ausgang abnehmen. Da eine Tiefpaßkette die hohen Frequenzen stärker abschwächt als die tiefen Frequenzen, ist der Klirrfaktor des Oszillatorsignales am Ausgang einer Tiefpaßkette geringer als am Eingang. Es lohnt sich daher unter Umständen, diese
Abschwächung in Kauf zu nehmen und mit einem weiteren Verstärker zu kompensieren.
3M3
2 * 1 N 4148
1M5
22k
_
OUT
+
47k
6k8
10n
10n
6k8
6k8
Bild 10.26: RC-Generator für 1 kHz
301
10n
Bild 10.26 zeigt einen RC-Generator für eine Frequenz von 1 kHz. Das Rückkopplungsnetzwerk
besteht aus einer Hochpaßkette mit drei Widerständen zu 6.8 kΩ und drei Kondensatoren von 10 nF.
Dies resultiert in einer Frequenz von etwa 956 Hertz. Diese Frequenz kann durch den Regler 47 kΩ
noch etwas variiert werden. Läßt man die Reihenschaltung der antiparallelen Dioden und des 3,3MΩWiderstandes außer acht, so beträgt der Rückkopplungswiderstand 1.5 MΩ Um auf eine Verstärkung
von 29 zu kommen, muß die Reihenschaltung aus dem 47kΩ-Regler und dem Festwiderstand 22k den
Wert von 51kΩ annehmen. Diesen Wert kann man mit Hilfe des Reglers bequem einstellen. Dies ist
jedoch nur theoretisch der Fall, denn das Rückkopplungsnetzwerk besitzt ja ebenfalls einen
bestimmten Innenwiderstand. In der Simulation haben sich Werte in der Größenordnung von 35-40kΩ
als praktikabel erwiesen. Überschreitet die Ausgangsspannung einen bestimmten Wert, so leiten die
beiden antiparallel geschalteten Dioden 1N4148 und in der Rückkopplung liegt die Parallelschaltung
der beiden Widerstände von 1.5 MΩ und 3.3 MΩ. Dies verringert den Verstärkungsfaktor auf den Wert
von 20 - die Schaltung schwingt nicht mehr. Durch den Zweig mit den beiden Dioden wird daher eine
Amplitudenstabilisierung vorgenommen. Der Spitzenwert der Ausgangsspannung pendelt sich auf
einen Wert derart ein, daß die Schwingung erhalten bleibt, der Klirrfaktor jedoch klein ist. Dazu wurden
Simulationen mit zwei verschiedenen Widerständen (Reglerstellungen) durchgeführt: Einmal mit
Rges=40kΩ und einmal mit Rges=35kΩ. Ohne die Dioden und den 1.5MΩ-Widerstand wurde der
Operationsverstärker jedesmal bis an seine Aussteuerungsgrenzen ausgesteuert. Mit dem 40kΩWiderstand wurde dabei eine Verzerrung von 2% erreicht, mit dem 35kΩ-Widerstand eine Verzerrung
von 3.2%. Wurden nun die fehlenden Bauelemente eingefügt, so zeigte sich folgendes Bild: Die
Ausgangsspannung sank auf etwa 580mV (40kΩ) bzw. 1.3 Volt (35kΩ) ab. Beim 40kΩ-Widerstand
waren die Verzerrungen mit etwa 2.1% geringfügig höher(!) als ohne Dioden, beim 35kΩ-Widerstand
wurden 0.58% Verzerrungsanteil errechnet. Bei kleinen Amplituden scheinen Nichtlinearitäten um den
Nullpunkt der Ausgangsspannung eine gewisse Rolle zu spielen, da die Verzerrungen mit Dioden
größer war als ohne Dioden.
In der Regel werden RC-Generatoren nur für feste Frequenzen gebaut, da zur Frequenzänderung
aufwendige Dreifachpotentiometer oder Dreifachdrehkondensatoren benötigt werden. Selbst bei Einsatz von engtolerierten Bauelementen treten schnell Gleichlaufprobleme auf. Will man trotzdem durchstimmbare Generatoren mit Hoch- oder Tiefpaßketten aufbauen, so müssen die durchstimmbaren
Bauelemente einseitig geerdet werden, um Handempfindlichkeit zu vermeiden. Setzt man Drehkondensatoren ein, so muß man daher einen Tiefpaß einsetzen, bei Potentiometern hingegen eine
Hochpaßkette.
Man kann RC-Generatoren auch mit Ketten von mehr als drei RC-Gliedern aufbauen, die Vorteile sind
jedoch gering.
302
10.2.3.2 Wien-Brücken-Oszillator
Der Wien-Brücken-Oszillator benötigt nur zwei RC-Glieder zur Frequenzeinstellung, deshalb lassen
sich durchstimmbare Oszillatoren wesentlich einfacher aufbauen. Im Gegensatz zum oben beschriebenen RC-Generator wird ein nichtinvertierender Verstärker benötigt, da sich die rückgekoppelte
Spannung bei der Generatorfrequenz mit der Ausgangsspannung der Gesamtschaltung in Phase
befindet. Bild 10.27 zeigt die Prinzipschaltung eines Wien-Brücken-Oszillators.
R3
R4
_
OUT
OUT
C1
uP
C2
ua
_
R1
R3
R4
R2
C1
+
+
uP C2
R1
ua
R2
Bild 10.27: Prinzipschaltung des Wien-Brücken-Generators sowie alternative Darstellung
als Brückenschaltung
Das Verhältnis der Spannungen up/ua beträgt:
k=
up
ua
=
Z2
=
Z1 + Z 2
1
1
=
Z
1 
1 + 1 1 + R1 + C 2 + j  ω R1C 2 −


Z2
R 2 C1
ω R 2C1 

Der Imaginärteil dieses Ausdruckes wird für
ω R1C 2 =
1
ω R 2C1
gleich Null. Die Phasenverschiebung ist damit ebenfalls gleich Null. Die Frequenz der Schwingung ist
damit
f0 =
1
2π R1C 2R 2C1
Der Rückkopplungsfaktor wird dann zu
k=
1
1+
R1 C 2
+
R 2 C1
Macht man die Widerstände und die Kondensatoren jeweils gleich groß, so erhält man mit R1=R2=R
und C1=C2=C:
f0 =
1
2πRC
und k =
303
1
3
Man beachte, daß -ähnlich wie beim Phasenschieber- die Variation von R oder C um den Faktor X
dazu führt, daß sich die Frequenz um den Faktor 1/X ändert. Bei den LC-Oszillatoren ändert sie sich
im Prinzip um den Faktor 1/√X. Die Verstärkung des Operationsverstärkers muß mindestens gleich 3
sein. Für das Widerstandsverhältnis R3/R4 gilt dann:
R 3 > 2R 4
da es sich für die Oszillatorschaltung um eine Variante der nichtinvertierenden Grundschaltung handelt. Wählen wir R3/R4 exakt gleich 2, so schwingt der Oszillator nicht an, da uD=uP-uN=0 ist. Der
Wien-Brücken-Oszillator bedarf daher immer einer geringfügigen Verstimmung des Widerstandsverhältnisses R3 zu R4.
Zur Amplitudenbegrenzung eignen sich folgende Maßnahmen:
1.
Begrenzerdioden im ohmschen Rückkopplungszweig. Sie sorgen dafür, daß bei ansteigender
Amplitude die Verstärkung begrenzt wird.
2.
Der Widerstand R4 der Grundschaltung wird durch einen FET ersetzt, der als steuerbarer
Widerstand fungiert.
Von diesen Maßnahmen ist die zweite Variante die wirksamste. Mit derartigen Schaltungen lassen sich
Klirrfaktoren von weniger als 0.1 Prozent erzielen. Dabei wird die Linearität der Ausgangsspannung im
wesentlichen von der Linearität des FET bestimmt. Eine weitere Verringerung des Klirrfaktors erzielt
man dadurch, daß man nicht die Ausgangsspannung ua, sondern uP auskoppelt. Durch den
Bandpaßcharakter des Rückkopplungsnetzwerkes werden Oberwellen wirksam unterdrückt. Bild 10.28
zeigt einen Wien-Brücken-Oszillator mit automatischer Amplitudenbegrenzung durch einen JFET. Die
frequenzbestimmenden Bauelemente sind die beiden Widerstände R und die beiden Kondensatoren
C. Die ohmsche Rückkopplung besteht aus den Widerständen R1 und der Reihenschaltung des
Widerstandes R2 und dem Drain-Source-Widerstand des JFETs, der im ohmschen Bereich betrieben
wird. Unmittelbar nach dem Einschalten der Versorgungsspannung ist C2 noch nicht aufgeladen, der
Widerstand des JFETs ist gleich RDSON, dem Widerstand für UGS=0. Entsprechend hoch ist die
Verstärkung des Operationsverstärkers. Die Schaltung beginnt zu schwingen, die Schwingung ist
jedoch wegen der zu hohen Verstärkung verzerrt. Die Ausgangsspannung wird in der
Spannungsverdopplerschaltung aus D1 und D2 gleichgerichtet. Die Aufladezeitkonstante wird durch
R5 und C1 bestimmt. Die gleichgerichtete Spannung ist negativ, der Widerstand des JFET steigt somit
an und die Verstärkung sinkt ab. Der Kondensator C2 entlädt sich mit der Zeitkonstanten R6C2.
C
R
+
OUT
_
R1
C
R
R2
C3
R3
R5
R4
R6
D1
C2
Bild 10.28: Wien-Brücken-Oszillator mit Amplitudenbegrenzung
304
C1
D2
ua
Im eingeschwungenen Zustand ist die Gate-Source-Spannung so groß, daß die Ausgangsspannung
(fast) rein sinusförmig ist. Dies läßt sich mit dem Regler R3 einstellen. Der Klirrfaktor der Ausgangsspannung hängt sehr stark von der Linearität des Drain-Source-Widerstandes ab. Die Linearität läßt
sich stark verbessern, wenn die Hälfte der Drain-Source-Wechselspannung zum Gatepotential hinzuaddiert wird (s. a. Kapitel 8.4). Dazu dienen die Widerstände R3 und R4. Der Kondensator C3
verhindert, daß die Gate-Source-Spannung zum invertierenden Eingang des Operationsverstärkers
gelangt und eine Nullpunktverschiebung der Ausgangsspannung hervorruft. Durch den Abgleich von
R3 lassen sich Klirrfaktoren von unter 0.1 Prozent erreichen. Die gute Frequenzstabilität des WienBrücken-Oszillators rührt vom steilen Nulldurchgang des Phasenganges in der Umgebung der
Resonanzfrequenz her. Die Frequenzeinstellung erfolgt mit einem Doppelpotentiometer oder einem
Doppeldrehkondensator. Dabei läßt sich bei Cmax = 10 Cmin die Frequenz ebenfalls in einem 1:10
variieren. Bei Einsatz eines LC-Oszillators wäre eine Frequenzvariation mit dem gleichen
Drehkondensator lediglich im Verhältnis 1:√10 möglich. Im allgemeinen schaltet man bei einem WienBrücken-Oszillator mit variablem Frequenzbereich die Kondensatoren mit Schaltern um und nimmt
eine Feineinstellung der Frequenz mit einem Doppelpotentiometer vor.
10.3 Funktionsgeneratoren
Bei den folgenden Schaltungen weicht die Kurvenform erheblich von der Sinusform ab. Diese Abweichung ist gewollt. Solche Oszillatoren bezeichnet man auch als Relaxationsoszillatoren. Im Prinzip
können alle oben besprochenen Oszillatorschaltungen dazu benutzt werden, um von der Sinusform
abweichende Ausgangssignale zu erzeugen, wenn man die Rückkopplung entsprechend hoch auslegt.
Hier sollen jedoch andere Schaltungprinzipien besprochen werden.
10.3.1 Rechteckgeneratoren
Bei Rechteckgeneratoren wechselt die Ausgangsspannung nicht kontinuierlich zwischen einem
Maximal- und einem Minimalwert hin und her, sondern die Ausgangsspannung nimmt im Idealfall nur
zwei Werte, Uamax und Uamin, an. Die Zustände "Ausgangsspannung hat den Wert Uamax bzw.
Uamin" sind:
- stabil, wenn sie beliebig lange bestehen können.
- metastabil, wenn sie nur eine bestimmte Zeit aufrecht erhalten werden können.
Gemäß dieser Definition kann man drei Klassen von Rechteckgeneratoren bzw. Kippschaltungen
unterscheiden:
Bistabile Kippschaltungen:
haben zwei stabile Zustände. Ohne einen Stimulus von außen ändern sie ihren Zustand nicht.
Aus der Digitaltechnik kennt man das Flipflop und das Latch, im Grenzbereich zwischen
Analog- und Digitaltechnik sind hier Komparator und Schmitt-Trigger zu nennen.
Monostabile Kippschaltungen:
haben sowohl einen stabilen als auch einen metastabilen Zustand. Sie werden durch einen
Impuls von außen in den metastabilen Zustand versetzt und verbleiben dort eine bestimmte
Zeit, bis sie von selbst wieder in den stabilen Zustand zurückfallen. Man nennt sie auch
"Monoflop" oder "Timer". Bei retriggerbaren Monoflops führt ein Impuls während des metastabilen Zustandes zu einer Verlängerung dieses Zustandes, bei nicht retriggerbaren
Monoflops bleibt dieser zweite Impuls wirkungslos.
305
Astabile Kippschaltungen:
haben zwei metastabile Zustände, die sie ohne äußeren Einfluß periodisch wechseln. Ein
Vertreter dieser Kippschaltungen ist der astabile Multivibrator, dem man als Taktgenerator,
Tongenerator oder Blinkschaltung einsetzen kann.
Den prinzipiellen Aufbau solcher Kippschaltungen zeigt grob schematisiert das Bild 10.29.
+UB
K1
K2
ua
Bild 10.29: Prinzipieller Aufbau von Kippschaltungen
Nicht eingezeichnet sind Triggereingänge für Flipflop und Monoflop. Die Ausgangsspannung wird hier
am rechten Transistor abgenommen. Am linken Transistor kann man den dem Spannungswert des
rechten Transistors entgegengesetzten Spannungswert ("invertierter Pegel" in der Digitaltechnik)
abnehmen.
Die jeweilige Klasse der Kippschaltungen wird durch die Art der jeweils verwendeten Koppelglieder
bestimmt. Man unterscheidet hier zwischen galvanischer Kopplung (Gleichstromkopplung, ohmscher
Widerstand) und kapazitiver Kopplung (Wechselstromkopplung, Kondensator):
Verhalten der Schaltung:
bistabil
monostabil
astabil
K1
R
R
C
K2
R
C
C
10.3.1.1 Der astabile Multivibrator
Die älteste Schaltung eines Rechteckgenerators wurde bereits 1919 von Abraham und Bloch angegeben: Der astabile Multivibrator. Die hier besprochene, einfache Version des Multivibrators (Bild 10.30a)
besteht aus zwei Transistoren, wobei jeweils der Kollektor jedes dieser Transistoren über einen
Koppelkondensator mit der Basis des anderen Transistors verbunden ist. Bild 10.30b zeigt die gleiche
Schaltung, jedoch als rückgekoppelten Verstärker dargestellt. Die beiden Transistoren triggern sich
nach Ablauf der jeweils durch ein RC-Glied bestimmten Verweilzeit gegenseitig. Auf diese Art und
Weise entsteht am Kollektor jedes dieser Transistoren eine annähernd rechteckförmige Schwingung.
Sperrt der eine Transistor, so leitet der andere und umgekehrt.
306
+UB
R3 R1
ua1
T1
C2
C1
+UB
R2
R4
T2
R2
C2
ua2
R4
T2
uBE2
a)
R3
R1
C1
ua2
T1
uBE1
ua1
b)
Bild 10.30: a und b: Grundschaltung des Multivibrators
Bild 10.31 stellt die wesentlichen Spannungsverläufe in der Schaltung nach Bild 10.30b dar. Im Bild
10.31 ist angenommen, daß zum Zeitpunkt t-0 der Transistor T2 gesperrt war und der Transistor T1
leitete. Zum Zeitpunkt t = 0 beginnt der Transistor T2 allmählich zu leiten. Die Spannung ua2 am
Kollektor von T2 sinkt etwas ab. Dieses Absinken der Spannung wird durch C1 an die Basis von T1
übertragen. T1 leitet weniger stark, die Kollektorspannung steigt etwas an. Dieser Spannungsanstieg
gelangt über C2 an die Basis von T2, der daraufhin noch besser leitet. Es liegt eine Mitkopplung vor.
Diese bewirkt, daß das Umschalten von T1 und T2 sehr schnell vonstatten geht. Die Spannung ua2
sinkt sehr schnell ab, ua1 hingegen steigt sehr schnell an. Wenn der Transistor T1 sperrt, so muß der
Kondensator C2 über den Widerstand R3 aufgeladen werden. Deshalb ist der Anstieg von ua1 weniger
steil als das Abfallen von ua2. Der Spannungssprung von ua2 um den Betrag UB überträgt sich auf die
Basis von T1. Die Basis-Emitter-Spannung sinkt von (etwa) 0.7 V auf 0.7V - UB ab. Man muß darauf
achten, daß die Basis-Emitter-Diode bei dieser Spannung noch nicht als Zenerdiode fungiert und damit
den Spannungssprung verkleinert. Sobald uBE1 den Wert 0.7V - UB erreicht hat, wird der
Kondensator C1 über den Widerstand R1 wieder aufgeladen. Es gilt
t
−


R1C1 

uBE1 (t ) = 0.7 V + UB * 1 − 2e




Der Transistor T1 beginnt wieder zu leiten, sobald die Spannung uBE1 den Wert 0.7 V erreicht hat. Die
Basis-Emitter-Spannung muß also um UB ansteigen. Die geschieht innerhalb der Zeit τ1:
:
τ
− 1 

R1C1 

0.7 V = 0.7 V + UB * 1 − 2e




0 = 1 − 2e
1 = 2e
−
−
τ1
R1C1
τ1
R1C1
0 = ln(2) −
τ1
R1C1
τ1 = R1C1 * ln(2) ≈ 0.7 R1C1
307
τ1
τ2
12V
ua1
~ R3 * C2
0.13V
0.7V
uBE1
~ R1 * C1
-11.2V
12V
~ R4 * C1
ua2
0.13V
0.7V
uBE2
~ R2 * C2
-11.2V
t=0
t = τ1
t = τ1 + τ2
Bild 10.31: Spannungsverläufe beim astabilen Multivibrator
Sobald die Spannung an der Basis des Transistors T1 den Wert von 0.7 V erreicht, fließt Basisstrom
und der Transistor beginnt zu leiten. Sein Kollektorpotential sinkt ab. Dieses Absinken überträgt sich
über C2 auf T2, der daraufhin schlechter leitet; sein Kollektorpotential steigt an. Dieser Anstieg des
Kollektorpotentials von T2 gelangt über C1 an T1, der daraufhin noch besser leitet. Auch hier sorgt
also die Mitkopplung für ein sehr schnelles Abfallen von ua1 und ein schnelles Ansteigen von ua2.
Sobald T2 sperrt, muß der Kondensator C1 über den Widerstand R4 aufgeladen werden. Dies führt zu
einem etwas verzögerten Anstieg des Spannung ua2. Der Spannungssprung um UB überträgt sich auf
die Basis von T2, uBE2 sinkt auf 0.7V - UB ab. Über den Widerstand R2 wird dieser Kondensator wieder aufgeladen, bis uBE2 den Wert von 0.7 V erreicht hat und erneut Basisstrom fließt. Dann beginnt
der ab t=0 beschriebene Zyklus neu. Für den Zeitraum τ2, während dem der Transistor T2 gesperrt ist,
finden wir
τ 2 = R 2C 2 * ln(2) ≈ 0.7 R 2C 2
Bezeichnen wir als Ti den Zeitraum, während dem T1 gesperrt und ua1 folglich gleich UB ist und die
Zeit, während dem T1 leitet, als TP, so gilt
Ti = 0.7R 1C1 und Tp = 0.7 R 2C 2
Für die Frequenz der Rechteckschwingung gilt
f=
1
1
=
Ti + Tp 0.7 * (R1C1 + R 2C 2 )
Diese Schaltung ist im Frequenzbereich von etwa 100 Hz bis 10 kHz ohne Änderung einsetzbar.
308
Nun zur Dimensionierung der einzelnen Bauelemente. Die Widerstände R3 und R4 dimensioniert man
so, daß die Schaltung den Zweck erfüllt, für die sie entworfen wird. Legt man Wert auf eine Ausgangsspannung mit möglichst steilen Flanken, so sollten die Widerstände so niederohmig wie möglich
gewählt werden, da die Anstiegsflanken der Impulse durch das Aufladen der Kondensatoren
verschliffen werden. Die Widerstände R1 und R2 müssen einen so großen Basisstrom liefern können,
daß die Transistoren gesättigt sind; UCESAT ist etwa 0 Volt. Man erhält somit als Bedingung:
IC =
UB
RC
und damit mit der Gleichstromverstärkung B
IB =
UB
B * RC
Der Ausdruck für RB lautet dann
RB ≤
UB
= B * RC
IB
wobei RC die Widerstände R3 und R4 repräsentiert und RB die Widerstände R1 und R2. Die Kondensatoren werden dann so ausgesucht, daß der Multivibrator auf der gewünschten Frequenz schwingt
und das geforderte Impuls/Pause-Verhältnis eingehalten wird. Wird der Ausgang des Multivibrators
kurzgeschlossen, so setzt die Schwingung aus. Behebt man den Kurzschluß, so ist es möglich, daß
der Multivibrator nicht wieder anschwingt, weil nun beide Transistoren leiten. Dieser Zustand ist ein
stabiler Zustand.
10.3.1.2 Rechteckgenerator mit Operationsverstärkern
Vielfach bestehen solche Rechteckgeneratoren aus einem Schmitt-Trigger, der über einen angeschlossenen Tiefpaß rückgekoppelt ist (Bild 10.32).
A
_
B
iC
A
R
B
OUT
invertierender
+
Tiefpaß
Schmitt-Trigger
"Ue"
R2
Ua
C
R1
a)
uC
b)
Bild 10.32: a) Prinzipschaltung eines Rechteckgenerators und b) schaltungstechnische Realisierung
Der Schmitt-Trigger schaltet jedesmal um, wenn seine Eingangsspannung einen der Werte Ueein oder
Ueaus erreicht. Seine Ausgangsspannung springt dann auf Uamax oder Uamin. Wir wollen in dieser
Darstellung der Einfachheit halber annehmen, daß Uamax gleich +UB und Uamin gleich -UB ist. Da es
sich um einen invertierenden Schmitt-Trigger handelt, gilt
Ueein =
R1
R1
* Ua min = −
* UB
R1 + R 2
R1 + R 2
309
und
Ueaus =
R1
R1
* Ua max =
* UB
R1 + R 2
R1 + R 2
Springt die Ausgangsspannung auf +UB, so bedeutet dies, daß die Eingangsspannung unmittelbar
vorher den Wert Ueein erreicht hatte. Die Eingangsspannung ist gleich der Kondensatorspannung.
Sobald die Ausgangsspannung auf +UB gesprungen ist, wird der Kondensator aufgeladen, bis seine
Spannung den Wert Ueaus erreicht hat. Dann schaltet der Schmitt-Trigger auf -UB um und der Kondensator wird entladen, bis seine Spannung wiederum Ueein beträgt. Dann beginnt die Schwingung
aufs Neue (Bild 10.33). Da das Auf- und Entladen des Kondensators über das gleiche RC-Glied erfolgt
und die Schaltschwellen des Schmitt-Triggers symmetrisch zum Nullpunkt liegen, erfolgen sowohl der
Auflade- als auch der Entladevorgang mit der gleichen Zeitkonstanten. Man erhält somit eine symmetrische Rechteckschwingung mit einem Tastverhältnis von 1:1. Um die Schwingfrequenz der Anordnung zu bestimmen, genügt es daher, die Aufladung des Kondensators im Zeitbereich 0 ≤ t ≤ TP/2 zu
betrachten.
Für den Aufladestrom des Kondensators gilt:
(Ua max − uC ) = C * duC
iC =
R
dt
Ua max uC
du
=
+C* C
R
R
dt
Ua max
u
du
= C + C
RC
RC
dt
UB
Ueaus
TP/2
TP
t
Ueein
-UB
Bild 10.33: Spannungsverläufe am Eingang und am Ausgang des Schmitt-Triggers
Dies ist eine lineare Differentialgleichung erster Ordnung. Nach mehreren Rechenschritten finden wir
als Lösung:
t
t
−
−


uC (t ) = Ua max *  1 − e RC  + C * e RC


310
mit der noch zu bestimmenden Konstanten C. Mit uc(0) = Ueein erhalten wir:
uC = Ua max − (Ua max − Ueein ) * e
−
t
RC
Für t = TP/2 muß uC den Wert Ueaus annehmen:
Ucaus = Ua max − (Ua max − Ueein ) * e
−
TP
2RC
Nun setzt man die Werte für Ueein und Ueaus ein:
Ueein = −
R1
* UB
R1 + R 2
und
Ueaus =
R1
* UB
R1 + R 2
so erhält man mit Uamax = UB:
TP

R1
R1  − 2RC
*e
UB *
= UB −  UB + UB *
R1 + R 2
R1 + R 2 

− UB * R 2 = − (2R1 + R 2 ) * e
−
TP
2RC
T
− P
R2
= e 2RC
2R1 + R 2
TP = 2RC * ln
2R1 + R 2
R2
Damit ist gezeigt, daß die Periodendauer einerseits von den Schaltschwellen des Schmitt-Triggers und
andererseits von der Zeitkonstanten des Tiefpasses abhängig ist.
Versteht man den Spannungsteiler aus R1 und R2 als Potentiometer mit dem Gesamtwiderstand R, so
gilt für R1 und R2 mit α als Teilerverhältnis:
R1 = α * R
und R 2 = (1 − α) * R
Wir erhalten dann für TP:
TP = 2RC * ln
1+ α
1− α
und für die Schwingfrequenz f:
f=
1
=
TP
1
2RC * ln
311
1+ α
1− α
Eine Variation des Tastverhältnisses und damit der Frequenz kann man erreichen, wenn man die
Anschluß von R1 mit Masse auftrennt und eine Spannung UE zwischen Widerstand und Masse anlegt.
Dadurch verändert man ueein und ueaus. Die Herleitung der Gleichungen für Tastverhältnis und
Frequenz ist aufwendig und wird hier nicht vorgenommen.
10.3.2 Dreieckgeneratoren und Sägezahngeneratoren
Beim Rechteckgenerator mit Operationsverstärker wurde die Ausgangsspannung des Schmitt-Triggers
einem RC-Glied zugeführt. Die Ausgangsspannung des RC-Gliedes ist eine Exponentialfunktion und
als dreieckförmige Spannung unbrauchbar. Führt man die Ausgangsspannung des Schmitt-Triggers
jedoch einem Integrator zu, so kann man als Ausgangsspannung des Integrators eine dreieckförmig
oder sägezahnförmig verlaufende Spannung abnehmen (Bild 10.34).
C
R3
R1
_
R4
D
R2
+
OUT
OUT
+
UI
_
UK
Bild 10.34: Dreieck- und Sägezahngenerator
Das Bild zeigt die Kombination eines invertierenden Integrators mit einem nichtinvertierenden SchmittTrigger. Wir nehmen wiederum an, daß die beiden Operationsverstärker Ausgangsspannungen von
±UB liefern können und daß die Diode ideal ist.
Zur Funktionsweise der Schaltung: Am Eingang des Integrators liegt die Ausgangsspannung des
Schmitt-Triggers. Solange die Umschaltschwellen des Schmitt-Triggers nicht erreicht sind, ist dessen
Ausgangsspannung konstant. Daher verläuft die Ausgangsspannung des Integrators linear. Sobald die
Umschaltschwelle erreicht ist, schaltet der Schmitt-Trigger um und die Ausgangsspannung des
Schmitt-Triggers ändert ihre Polarität. Dann erfährt der Verlauf der Ausgangsspannung des Integrators
einen Knick. Die Ausgangsspannung des Integrators kann sich also nur zwischen den beiden Schaltschwellen des Schmitt-Triggers bewegen.
Die Schaltschwellen sind:
Ueein = −
Ueaus = −
R1
R
* Ua min = 1 * UB
R2
R2
R1
R
* Ua max = − 1 * UB
R2
R2
Die Ausgangsspannungshub des Schmitt-Triggers beträgt ±UB. Wenn die Ausgangsspannung des
Integrators ihren negativsten Wert erreicht hat, dann ist Ueaus erreicht und der Schmitt-Trigger hat
gerade umgeschaltet. Wir nehmen an, daß dies zum Zeitpunkt t=0 geschehen ist. Wir erhalten somit
für den Zeitpunkt t=0:
UI = −
R1
* UB
R2
312
UK = −UB
Der Verlauf der Ausgangsspannung ist dann wie folgt:
UI (t ) = −
t
1
* (− UB ) dt + UI (0 )
R 3C ∫0
UI (t ) =
UB
R
* t − 1 * UB
R 3C
R2
Die Ausgangsspannung steigt somit linear vom Anfangswert bei t=0 an. Nach der Zeit T1 ist die
Umschaltschwelle Ueein erreicht:
R1
U
R
* UB = B * T1 − 1 * UB
R2
R 3C
R2
oder
T1 = 2 R 3C *
R1
R2
Nach dem Umschalten des Schmitt-Triggers zum Zeitpunkt T1 liegt nun +UB am Eingang des
Integrators an; UI sinkt daher linear ab. Wir nehmen zuerst einmal an, daß der Zweig aus R4 und der
Diode D nicht existiert. Dann gilt:
UI (t ) = −
t
1
* UB dt + UI (T1 )
R 3C T∫1
Zum Zeitpunkt T2+T1 sei die untere Umschaltschwelle (wie bei t=0) erreicht:
−
R1
U
R
* UB = B * (T2 + T1 − T1 ) + 1 * UB
R2
R 3C
R2
Man erhält für T2
T2 = 2R 3C *
R1
= T1
R2
Da der Widerstand R4 und die Diode D nicht existieren, ist die Steigung der ansteigenden Flanke
gleich der negativen Steigung der abfallenden Flanke: Man erhält eine dreieckförmige Schwingung
mit der Frequenz
f=
1
R2
=
T1 + T2 4R1R 3C
Nun werden R4 und die Diode in die Schaltung eingefügt. Während der abfallenden Flanke ist die
Diode leitend und R3 und R4 sind somit parallelgeschaltet. Wir bekommen einen neuen Wert für T2:
T2 = 2R PC *
R1
R2
mit
313
RP =
R3 * R 4
R3 + R 4
f=
1
R2
=
T1 + T2 4R1C * (R 3 + R P )
Die Ausgangsspannung ist nicht mehr dreieckförmig, sondern hat die Form eines Sägezahns. Zusätzlich kann man noch die rechteckförmige Spannung UK am Ausgang des Schmitt-Triggers abgreifen.
In einigen Chips für Funktionsgeneratoren wird die dreieckförmige Spannung durch nichtlineare Netzwerke in eine fast sinusförmig verlaufende Spannung umgewandelt, so daß man hier drei unterschiedliche Spannungen mit der gleichen Frequenz zur Verfügung hat: Sinus, Rechteck und Dreieck.
Netzlisten
Meissneroszillator nach Ehrhardt
.LIB C:\LUDE\PS\SIM.LIB
LC
PLUS 17 10M
RLC 17
COLL 10
RC
PLUS COLL 100K
CC
PLUS COLL 10P
Q1
COLL BASIS EMITTER BC107
RE
EMITTER 0 5.6k ; im Original 2.6K
LB
12 0 0.1M
RLB 12 11 0.1
K1
LC LB 0.95
R1
PLUS BASIS 120K
R2
BASIS 14 22K
C1
10 BASIS 100N
R3
11 10 0.001 ; TRAN
*R4 11 0 22K ; AC
*RG INPUT 10 0.001 ; AC
VCC PLUS 0 10VOLT
VSTIM 14 0 PWL(0 0 1n 0.1 2n 0)
*VIN INPUT 0 AC 1VOLT ; AC
*.AC DEC 50 1K 10MEG ; FUER BODEDIAGRAMM
*.AC DEC 200 100K 1MEG ; FUER ORTSKURVE
.TRAN 0.3U 70U 35U 0.02U
.PROBE
.END
Reihenschwingkreis
L1 1 0 1mH
C1 1 0 1uF
R1 2 1 500Ohm
V1 2 0 AC 1V PULSE (0 1 0 1psec 1psec 10msec)
.AC DEC 50 1K 10K
.TRAN 100U 5msec 0 10usec
.END
314
VAN DER POL-APPROXIMATION
VIN IN 0 0
R1 IN 0 1
EVDPOL 2 0 POLY(1) (IN,0) 0 -1 0 1
R2 2 0 1
.DC LIN VIN -1.3 1.3 0.002
.END
Parasitaerer Colpitts-Oszillator
Q1 KOLL BASIS EMMI Q2N3904
R2 BASIS PLUS 10K
R3 0 BASIS 10K
R4 0 EMMI 10k
L1 KOLL PLUS 5000UH
CIN IN1 BASIS 10U
R5 IN IN1 100
V2 PLUS 0 DC 15
C2 BASIS KOLL 5P
C3 EMMI KOLL 40P
V4 IN 0 DC 0 AC 1 SIN 0 7 1000 0 0 0
.LIB C:\LUDE\PS\SIM.LIB
.TRAN/OP 20NS 0.75MS 0.30MS 200N
.END
Durchlasskurve eines Quarzes
VIN IN 0 AC 1
CP IN 0 5P
CR IN 1 0.015P
L 1 0 100mH
.AC LIN 1000 4.1MEG 4.14MEG
.END
Hochpaßkette
VIN IN 0 AC 1
C1 IN 1 1U
C2 1 2 1U
C3 2 3 1U
R1 1 0 1K
R2 2 0 1K
R3 3 0 1K
.AC LIN 200 64.9 65.1
.END
315
Phasenschieberoszillator mit OP
.LIB C:\LUDE\PS\SIM.LIB
X1 0 INV PLUS MINUS OUT UA741
VPLUS PLUS 0 15V
VMINUS MINUS 0 -15V
R1 INV 1 35K
R2 1 0 6.8K
C1 1 2 10N IC=2V
R3 2 0 6.8K
C2 2 3 10N
R4 3 0 6.8K
C3 3 OUT 10N
RFB OUT INV 1.5MEG
*RFB1 OUT DIO 3.3MEG
*D1 DIO INV 1N4148
*D2 INV DIO 1N4148
.FOUR 920.8 V[OUT]; mit Dioden
*.FOUR 941.6 V[OUT]; ohne Dioden
.TRAN/OP 100NSEC 50MSEC 45MSEC 10USEC UIC
.END
Astabiler Multivibrator
VPLUS PLUS 0 12V
Q1 KOLL1 BAS1 0 Q2N3904
Q2 KOLL2 BAS2 0 Q2N3904
R1 PLUS BAS1 100K
R3 PLUS KOLL1 2K
R2 PLUS BAS2 100K
R4 PLUS KOLL2 2K
C1 KOLL2 BAS1 5N IC=10V
C2 KOLL1 BAS2 10N
.LIB C:\LUDE\PS\SIM.LIB
.TRAN/OP 100USEC 5.3MSEC 4.1MSEC 10USEC UIC
.END
316
11. Schaltverhalten von Dioden und Transistoren
In diesem Abschnitt wird das Schaltverhalten von Dioden, Transistoren und Feldeffekttransistoren
besprochen. Heute werden geschaltete Halbleiter nicht nur in der Digitaltechnik eingesetzt, man findet
sie beispielsweise auch in der Analogelektronik und Energietechnik. Umso wichtiger ist es daher, das
grundsätzliche Schaltverhalten von Halbleiterbauelementen zu verstehen und spezifische
Eigenschaften von Leistungshalbleitern kennen zu lernen.
11.1 Schaltverhalten von Dioden
Bild 11.1 zeigt einen pn-Übergang, einmal in Sperrichtung und einmal in Durchlassrichtung.
Bild 11.1: pn-Übergang: Oben: Polung in Sperrichtung, unten Polung in Durchlassrichtung
Im gesperrten Zustand stellt sich der pn-Übergang als spannungsabhängige Kapazität Cs dar. Diese
Kapazität liegt im Bereich von einigen Picofarad bis zu einigen zehn Picofarad. Technisch genutzt wird
diese spannungsabhängige Kapazität bei den Kapazitätsdioden.
Im leitenden Zustand finden wir in der Sperrschicht sehr viele Minoritätsträger aus den jeweils
gegenüber liegenden dotierten Gebieten. Diese Minoritätsträger verbleiben nach einer Änderung der
anliegenden Spannung auf 0 oder in den Sperrbereich hinein noch eine Zeitlang in der Diode. Man
modelliert diesen Effekt als Diffusionskapazität Cd. Diese Kapazität kann Werte von vielen Nanofarad
erreichen. Zusätzlich findet man noch die Sperrschichtkapazität Cs vor.
Das Bild 11.2 zeigt das Ein- und Ausschaltverhalten der Gleichrichterdiode 1N4007. Diese Diode ist für
Netzgleichrichter und Ströme bis zu 1 Ampere geeignet, also nicht für schnelle Schaltvorgänge. Im
Datenblatt ist eine Sperrschichtkapazität von 15 pF angegeben bei einer Sperrspannung von 4 Volt.
Beim Einschalten ist der pn-Übergang noch hochohmig, der Spannungsabfall an der Diode liegt
deutlich über der Flußspannung von 0,7 Volt. Zwar muss die Sperrschichtkapazität Cs aufgeladen
werden, aber auch die Diffusionsladung muss sich erst aufbauen. Deswegen auch das exponentielle
Ansteigen des Stromes innerhalb der ersten 2 Mikrosekunden nach dem Einschalten. Danach sind die
endgültige Diodenspannung und der endgültige Diodenstrom (fast) erreicht. Diesen Zeitraum
bezeichnet man als tr (Rise Time).
317
Bild 11.2: Schaltverhalten der Gleichrichterdiode 1N4007. Blau: Spannung, rot: Strom.
Unmittelbar nachdem die speisende Spannung auf 0 Volt abgesunken ist, ist die Raumladungszone
noch mit Minoritätsträgern überschwemmt. Die Diodenspannung sinkt nur geringfügig ab. Die Diode ist
noch leitend, es fließt noch Strom. Die Minoritätsträger rekombinieren. Dieser Vorgang dauert im
obigen Bild etwa 2,8 Mikrosekunden. Diesen Zeitraum bezeichnet man als Speicherzeit ts (Storage
Time). Am Schluss wird die Sperrschichtkapazität Cs entladen. Da der pn-Übergang immer
hochohmiger wird, dauert dieser Vorgang etwas. Dieser Zeitraum wird Abfallzeit tf (Fall Time) genannt.
Erst nach Ablauf dieser beiden Zeiten ts und tf ist die Diode wieder nichtleitend. Die Summe aus ts und
tf wird auch Sperrerholungszeit trr (Reverse Recovery Time) genannt. Im obigen Bild liest man trr mit
etwa 6.8 Mikrosekunden ab.
Aus dem Oszillogramm lässt sich auch die Diffusionskapazität abschätzen. In der Speicherzeit ts
fließen etwa 3mA, sodaß eine Ladung von insgesamt etwa
Qs = 2,8µ sec* 3mA = 8.4 nC
abfließt. Die Diffusionskapazität ist auf etwa 0,7 Volt aufgeladen, so daß gilt:
Cd =
Qs 8.4 nC
=
= 12 nF
UD
0.7 V
Eine große Kapazität in Anbetracht der Tatsache, daß der maximal Diodenstrom 1 Ampere betragen
darf und die Diode mit einem Dauerstrom von etwa 50 mA betrieben wird.
Wenn die Spannung in der Sperrphase nicht 0 Volt wie oben beträgt sondern sogar negativ ist, so fällt
während der Zeit trr eine hohe Verlustleistung in der Diode an. Betreibt man eine Diode, beispielsweise
bei Schaltnetzteilen, mit hohen Frequenzen und hohen Spannungen, so sind die Verluste in der Diode
ein wesentlicher Faktor der den Wirkungsgrad verringert. Man muss daher schnelle Dioden oder
Schottky-Dioden einsetzen.
Alle oben definierten Zeiten sind vom konkreten Betrieb abhängig: Sowohl Stromstärke als auch
Sperrspannung haben einen großen Einfluss darauf. Bild 11.3 zeigt ein Bild aus dem Datenblatt für die
Diode
1N4148,
eine
recht
schnelle
Universaldiode.
318
Bild 11.3: Meßvorschrift für die Zeit trr bei der Diode 1N4148, Quelle Philips
Dabei wird die Diode so betrieben, daß sie von einem Strom IF = 10mA im leitenden Zustand durch
eine negative Spannung einen kurzzeitigen Sperrstrom von 60mA liefert. Sobald der Sperrstrom auf 1
mA abgesunken ist, endet die Reverse Recovery-Zeit. Man kommt so auf eine Zeit von 4
Nanosekunden.
11.2 Schaltverhalten von Bipolartransistoren
Transistoren haben eine Schichtenfolge von npn- oder pnp-dotiertem Material. Im Analogbetrieb ist die
Basis-Emitter-Diode leitend und die Kollektor-Basis.Diode gesperrt. Im Schalterbetrieb sind entweder
beide Dioden gesperrt (IC=0) oder die Basis-Emitter-Diode leitet (IC=ICmax). Ob die Kollektor-BasisDiode leitet oder sperrt, hängt dann von der Sättigungsspannung UCEsat ab. Dazu gilt, dass für einen
pn-Übergang bei einem Transistor ähnliche Gesetzmässigkeiten herrschen wie bei der oben
beschriebenen Diode. Bild 11.4 zeigt einen Transistor, der als Schalter betrieben wird sowie das
Ausgangskennlinienfeld eines Bipolartransistors, hier vom Typ BC547.
Bild 11.4: Transistor als Schalter sowie Ausgangskennlinienfeld mit Arbeitsgeraden
Eine Spannungsquelle liefert einen Schaltimpuls von 0 Volt auf Umax und wieder zurück auf 0 Volt. Es
fließt der Basisstrom IB. Dieser wird vom Widerstand RB begrenzt. Der „Speed Up“-Kondensator, wenn
er denn vorgesehen ist, überträgt die positive und die negative Schaltflanke des Impulses und
verbessert damit das Schaltverhalten des Transistors, doch davon später. Der Transistor schaltet und
dadurch ändern sich Kollektorstrom und die Kollektor-Emitter-Spannung UCE. Der Widerstand RC wird
vom Kollektorstrom IC durchflossen. Die
Kapazitäten CCB und CBE sind Kapazitäten des
319
Transistors, ergänzt durch die unvermeidlichen parasitären Kapazitäten des Schaltungsaufbaues. Sie
spielen eine wesentliche Rolle für das Schaltverhalten.
Rechts im Bild findet man das Ausgangskennlinienfeld des Transistors mit der eingezeichneten
Arbeitsgeraden. Es wurde ein Lastwiderstand RC von 200Ω angenommen bei einer Betriebsspannung
UB von 12 Volt. Während man im Analogbetrieb einen Arbeitspunkt so einstellt, dass genügend
Aussteuerfähigkeit bleibt (also beispielsweise UCE = 6 Volt bei einem Kollektorstrom IC von etwa 27
mA), wird im Schalterbetrieb der Transistor völlig gesperrt oder mit möglichst großem Kollektorstrom
betrieben.
Bei völlig gesperrtem Transistor ist der Kollektorstrom gleich Null und ebenso der Spannungsabfall an
RC. Damit haben wir eine Kollektor-Emitter-Spannung uCE von 12 Volt. Dies entspricht dem Punkt „B“
auf der Arbeitsgeraden. Bei leitendem Transistor sind die Verhältnisse nicht so einfach: Die Spannung
UCE ist nicht gleich Null, sondern gleich UCEsat, Punkt „A“ auf der Arbeitsgeraden. Der Kollektorstrom
beträgt hier etwa 54 mA. Wichtig ist: Die Spannung UCE ist niemals gleich Null, sondern immer größer.
Deswegen ist der „Low“-Pegel bei digitalen bipolaren Schaltkreisfamilien auch nicht 0 Volt, sondern 0,2
Volt.
Die Größe von UCEsat hängt vom verwendeten Transistor und dem Kollektorstrom ab. Der BC 547 ist
ein Kleinsignaltransistor und nicht unbedingt für den Schalterbetrieb geeignet. Ich habe jedoch kein
Datenblatt eines modernen Leistungstransistors mit der Darstellung des Ausgangskennlinienfeldes
gefunden. Wenn UCEsat sehr klein ist, so wird ausserdem auch die Kollektor-Basisdiode leitend und wir
finden in ihr ebenso eine Überzahl an Minoritätsträgern wie bei der ohnehin leitenden Basis-EmitterDiode, was wiederum Auswirkungen auf das Schaltverhalten hat. Das weiter unten befindliche
Oszillogramm wurde mit einem Transistor BD241 aufgenommen. Dieser Typ ist ein Leistungstransistor
mit einem maximalen Kollektordauerstrom von 3 Ampere.
Bild 11.5: BD241: Links Stromverstärkung B als Funktion des Kollektorstromes, rechts UCEsat
als Funktion des Basisstromes
Das Bild 11.5 zeigt einen Ausschnitt aus dem Datenblatt des BD241 der Firma Transys. Links wird die
Stromverstärkung als Funktion des Kollektorstromes dargestellt. Der Kurvenverlauf ist typisch für
bipolare Transistoren: Bei sehr kleinen Strömen ist die Stromverstärkung klein, da sich die
Ladungsträger im Halbleiter aufgrund der niedrigen Stromdichte nur relativ langsam fortbewegen und
deshalb die Wahrscheinlichkeit einer Rekombination groß ist. Bei mittleren Stromdichten haben wir ein
320
Maximum, hier etwa mehr als 100 bei einem Kollektorstrom von 200mA. Im Hochstrombereich sinkt
die Stromverstärkung aufgrund verschiedener Effekte stark ab. So beträgt sie bei einem
Kollektorstrom von 3 Ampere (und dafür ist der Transistor ja entworfen worden) nur noch 30. Der
Minimalwert, der an anderer Stelle im Datenblatt steht, ist sogar nur noch 10.
Im rechten Teil des Bildes ist die Sättigungsspannung als Funktion des Basisstromes dargestellt. Je
größer der Basisstrom bei einem gegebenen Kollektorstrom ist, umso geringer ist die
Sättigungsspannung. Man kommt leicht in einen Bereich von unterhalb 100 Millivolt. Dazu muss der
Transistor jedoch stark übersteuert werden: Der Basisstrom ist so groß, dass die Gleichung IC=B*IB
nicht mehr gilt. Durch die starke Übersteuerung wird auch das Schalten des Transistors verlangsamt.
Zur Berechnung: Es gilt folgender Zusammenhang:
IC =
UB − UCEsat
RC
Diesen Ausdruck kann man nach der gewünschten Größe auflösen. Zu beachten ist dabei, dass die
Sättigungsspannung UCEsat von IC abhängt.
Für den Widerstand RB gilt:
RB ≤
Umax − 0,7 V
U − 0,7 V
= B * max
IB
IC
Dabei ist zu beachten, dass in RB der Innenwiderstand der Signalquelle enthalten ist. Man kann RB
kleiner wählen, als rechnerisch notwendig. Dabei verbessert sich das Einschaltverhalten und
verschlechtert sich das Ausschaltverhalten. Wünscht man eine bestimmte Sättigungsspannung nicht
zu überschreiten, so kann man Bild 11.5 rechts zu Rate ziehen. Dort wird für bestimmte
Kollektorströme der notwendige Basisstrom dargestellt,damit sich eine bestimmte Sättigungsspannung
ergibt. Dann gilt
RB ≤
Umax − 0,7 V
IB
Man sollte aber immer bedenken, dass bei Halbleitern große Exemplarstreuungen vorliegen und eine
starke Temperaturabhängigkeit vorhanden ist.
Nun zum dynamischen Verhalten des Transistors. Dies wird beeinflusst von den im Schaltbild
eingezeichneten Kapazitäten CCB und CBE. Diese Kapazitäten sind - bis auf parasitäre Anteile aus der
Beschaltung - innere Kapazitäten des Transistors. Die Widerstände RB und RC haben einen Wert von
50 Ohm für RB bzw. 10 Ohm für RC. Das dazugehörige Oszillogramm zeigt Bild 11.6. Dargestellt sind
die Spannungen UCE, UBE und der Basisstrom IB. Man erkennt bei Basisstrom und Basis-EmitterSpannung UBE klare Parallelen zur Diode. Die Verhältnisse sind jedoch komplizierter, da ausserdem
noch die Miller-Kapazität CCB noch eine Rolle spielt.
321
Bild 11.6: Schaltverhalten des Transistors BD 241
Zuerst zu Spannung UBE und zum Basisstrom IB: Die Parallelen zur Diode sind offensichtlich. Im
oberen Teil des Bildes ist die Kollektor-Emitter-Spannung UCE dargestellt sowie die Schaltzeiten. Die
Definitionen der Zeiten beziehen sich auf die Kollektorspannung, deshalb erscheinen tr und tf
gegenüber den Definitionen bei der Diode vertauscht. Ausserdem vermischen sich die Effekte, welche
durch CBE hervorgerufen werden, mit den durch CCB hervorgerufenen, eine exakte Trennung ist nicht
möglich.
Man erkennt, dass die Abfallzeit der Kollektorspannung wesentlich größer ist als die Anstiegszeit des
Diodenstromes. Zur Erklärung dient die Kapazität CBC: Wenn der Transistor sperrt, ist diese Kapazität
auf den Wert UB-UBE aufgeladen. Sobald der Transistor zu leiten beginnt, entlädt sich diese Kapazität
über den Transistor. Da der Strom IC durch den Transistor begrenzt ist, geht dies auf Kosten des
Stromes durch den Widerstand RC. Und diese Spannung UCE, welche im Bild dargestellt ist, ist nichts
anderes als die Betriebsspannung UB minus dem Spannungsabfall an RC. Wenn der Transistor wieder
sperrt, so verbleibt er noch eine Zeitlang im leitenden Zustand, weil die Speicherladung der
Diffusionskapazität erst abfließen muss. Da die Sättigungsspannung deutlich unter 0,7 Volt liegt, leitet
auch die Kollektor-Basis-Diode und hat ihrerseits eine Speicherladung. Wenn diese Speicherladung
abgeflossen ist, müssen die Sperrschichtkapazitäten entladen (CBE) beziehungsweise aufgeladen
(CCB) werden. Das Aufladen von CCB kann nur über den Widerstand RC geschehen.
Vergrößert man den Basisstrom, so wird die Abfallzeit tf kleiner, die Speicherzeit tr und die Anstiegszeit
tr jedoch größer. Eine Abhilfe bietet der Speed Up-Kondensator CS. Beim Einschalten des Transistors
wird die positive Flanke der Spannung ue auf die Basis übertragen und es fließt kurzzeitig ein hoher
Basisstrom, der das Einschalten beschleunigt. Umgekehrt gelangt bei Ausschalten des Transistors die
negative Flanke an die Basis und die negative Spannung sorgt dafür, dass die Basis-Emitter-Zone
schneller frei von Ladungsträgern wird.
322
11.3 Schaltverhalten von Feldeffekttransistoren
Im Bild 11.7 sind zwei MOSFET-Strukturen dargestellt. Der linke MOSFET ist ein „klassischer“
MOSFET mit horizontalem Kanal, der rechte ein Leistungs-MOSFET mit vertikalem Kanal. Die
Halbleiterstruktur des vertikalen Transistors entspricht bei vertauschter Betriebsspannung einer Diode.
Bild 11.7: MOSFET-Strukturen (Quelle: Wikipedia)
Bild 11.8: Kennlinienfeld des JFETs BF245B
Das statische Schaltverhalten von Feldeffekttransistoren wird anhand des Kennlinienfeldes des JFETs
BF245B erklärt. Die Kennlinienfelder von MOSFETs sind ähnlich.
Man kann das Ausgangskennlinienfeld in zwei Bereiche aufteilen: Den ohmschen Bereich und den
Abschnürbereich. Im Abschnürbereich arbeitet der FET wie eine Stromquelle mit hohem
Innenwiderstand, im ohmschen Bereich wirkt er wie ein (allerdings nichtlinearer) ohmscher
Widerstand. So wie beim Bipolartransistor finden wir zwei Betriebspunkte A und B des als Schalter
eingesetzten Transistors: Im Betriebspunkt B ist er abgeschaltet und sperrt, im Betriebspunkt A leitet
der Transistor. Anders als beim Bipolartransistor gibts es hier jedoch keine Sättigungsspannung,
sondern wir finden einen ohmschen Spannungsabfall. Durch geeignete Auslegung des FETs kann
dieser Spannungsabfall klein gehalten werden.
Schaltet der Transistor von Aus-Zustand B in den Ein-Zustand A, so fungiert er zuerst als Stromquelle
und dann als Widerstand, beim Ausschalten ist dies umgekehrt der Fall.
323
Im Bild 11.9 sind das Ausgangskennlinienfeld und der Widerstand RDSON des MOSFETs
IRF6729MTRPbF von International Rectifier dargestellt. Es handelt sich dabei um einen N-Kanal
Anreicherungstypen in SMD-Technologie. Ein solcher Transistor sperrt bei UGS gleich 0 Volt und leitet,
wenn die Gate-Source-Spannung die Schwellenspannung Uth überschreitet. Diese Spannung beträgt
laut Datenblatt typisch 1,8 Volt und maximal 2,35 Volt.
Bild 11.9: Schaltung und Daten eines Leistungs-MOSFETs (Quelle: International Rectifier)
Dieser Transistor hat eine maximale Drain-Source-Spannung von 30 Volt und einen maximalen
Draindauerstrom von 31 Ampere (25°C, U GS = 10Volt, also durchgeschaltet). Der Widerstand RDSON
liegt dann bei knapp 2mΩ! Dies kann aber nur erreicht werden, wenn der Spannung UGS 10 Volt
beträgt. Bei einer Spannung UGS von 3,5 Volt beträgt der Widerstand bereits 4mΩ. Die zulässige
Verlustleistung ist jedoch nur gering: 2,8 Watt bei einer Umgebungstemperatur von 25°C, montiert auf
2
einer Kupferfläche von einem Quadratinch (2,54x2,54 cm ).
324
Bild 11.10 zeigt stark vereinfacht das dynamische Verhalten eines Leistungs-MOSFETs mit ohmscher
Last. Dabei spielt die Kapazität CGD zwischen Drain und Gate eine wichtige Rolle. Die Kapazität CDS ist
hier nicht eingezeichnet.
Bild 11.10: Schaltverhalten eines Leistungs-MOSFETs mit ohmscher Last
Zum Zeitpunkt t1 springe die Eingangsspannung ue von 0 Volt auf Umax. Bis zum Zeitpunkt t2 werden
sowohl CGS als auch CGD umgeladen. Bis zu diesem Zeitpunkt sperrt der MOSFET. Bei t2 ist die
Schwellenspannung erreicht, der MOSFET beginnt zu leiten - zuerst im Abschnürbereich und dann im
ohmschen Bereich. Die Spannung UGS sinkt ab und damit auch die Spannung am Drain-Anschluss von
CGD. Dies führt dazu, dass die Spannung UGS konstant bleibt. In dieser Zeit steigt der Drainstrom von 0
auf sein Maximum an. Dieser Anstieg ist nicht unbedingt linear, wie das Bild es vereinfacht darstellt,
mehr dazu weiter unten. Zum Zeitpunkt t3 leitet der Transistor vollständig. Nun laden sich CGD und CGS
über den Widerstand RG auf Umax auf. Dieser Aufladevorgang ist bei t4 abgeschlossen. Wenn die
Eingangsspannung ue bei t5 wieder zurück auf 0 springt, so spielen die die Vorgänge in umgekehrter
Reihenfolge ab. Die Auf- und Entladezeiten werden einerseits von den Kapazitäten bestimmt,
andererseits jedoch auch von Widerstand RG der Signalquelle. Ist dieser Widerstand nichtlinear, so
können Auflade- und Entladezeiten unterschiedlich groß sein. Dies kann zu Problemen führen, wenn
man mehrere MOSFETs im Gegentakt schalten muss.
Der eigentliche Schaltvorgang findet in der Zeit t2 bis t3 (bzw. t6 bis t7) statt. In diesem Zeitraum muss
der Kondensator von UB nach 0 Volt umgeladen werden. Die benötigte Ladung beträgt dabei
Q = CGD * UB
Wenn die Signalquelle den Strom Ie liefern kann, so gilt für die Einschaltzeit
t ein = t 3 − t 2 =
Q CGD * UB
=
Ie
Ie
Gibt man hingegen eine bestimmte Schaltzeit vor, so kann man diesen Ausdruck nach Ie auflösen und
erhält den notwendigen Treiberstrom Ie. Dieser kann durchaus im Bereich von einigen Hundert
Milliampere liegen. Vielfach werden MOSFETs daher nicht direkt mit einer Signalquelle verbunden,
sondern es wird eine leistungsfähige Treiberstufe dazwischen geschaltet. Analoge Überlegungen
gelten für die Ausschaltzeit.
Bild 11.11: Ausschnitt aus dem Datenblatt des
IRF6729MTRPbF (Quelle: International Rectifier)
325
Die Kapazitäten sind von den Betriebsbedingungen abhängig, ebenso natürlich die Ladungen. Bild
11.11 zeigt, welche Ladungen wann wohin fließen: Vor Erreichen der Schwellenspannung 11nC, um
CGS aufzuladen, nach Erreichen der Schwellenspannung werden dazu noch 4,9nC benötigt. Die
Kapazität CGD wird mit 14nC aufgeladen.
Die Kapazitäten sind bei Leistungs-MOSFETs sehr groß und ausserdem spannungsabhängig. Einen
Eindruck davon liefert Bild 11.12.
Bild 11.12: Definition und Spannungsabhängigkeit von Kapazitäten (Quelle: International Rectifier)
In den Datenblättern von Feldeffekttransistoren werden typischerweise drei Kapazitäten angegeben:
Die Eingangskapazität Ciss, die Ausgangskapazität Coss und die Rückwirkungskapazität Crss. Den
Zusammenhang zwischen diesen Größen und den im Schaltbild für den MOSFET eingezeichneten
Kapazitäten zeigt Bild 11.12, ebenso wie die Spannungsabhängigkeit dieser Kapazitäten. Die
Zahlenwerte sind wie folgt:
Bild 11.13: Kapazitäten des des IRF6729MTRPbF (Quelle: International Rectifier)
Die Messung dieser Kapazitäten erfolgt hier bei gesperrtem FET und einer Drain-Source-Spannung
von 15 Volt. Bei geringeren Spannungen erhöhen sich diese Kapazitäten noch.
Eine konkrete Messung des Schaltverhaltens zeigt Bild 11.14 anhand des Transistors BUZ72. Der
Drainwiderstand hatte einen Wert von 10 Ohm.
326
Bild 11.14: Oszillogramm des Einschaltverhaltens eines MOSFETs vom Typ BUZ72
Der Transistor sperrt. Zum Zeitpunkt t1 springt die Generatorspannung von = Volt auf Umax. Dieser
Spannungssprung wird über CGD auf das Drain übertragen, deshalb die leichte Erhöhung der
Drainspannung. Zum Zeitpunkt t2 beginnt der Transistor zu leiten. Die Gate-Spannung bleibt konstant.
Da die Drain-Source-Spannung hoch ist, arbeitet der Transistor im Abschnürbereicht. Er fungiert als
Konstantstomquelle, die CGD mit konstantem Strom auflädt. Die Drain-Spannung sinkt linear ab. Etwa
zum Zeitpunkt t3 wechselt der FET vom Abschnür- in den ohmschen Bereich, das Absinken der
Drainspannung gleicht hier mehr einer Exponentialfunktion. Zum Zeitpunkt t4 hat der FET seine
maximale Leitfähigkeit erreicht, die Drainspannung ist praktisch gleich Null. Weil die Drain-SourceSpannung jetzt ungefähr Null ist, sind die parasitären Kapazitäten jetzt wesentlich größer geworden
und der Spannungsanstieg der Gatespannung wird dadurch stark verlangsamt. Man erkennt ab t4 die
klassische Ladekurve eines Kondensators, der über einen Widerstand aufgeladen wird, wieder.
327
11.4 Kühlung von Leistungshalbleitern
Im Betrieb werden Halbleiter von einem Strom durchflossen und eine Spannung fällt an ihnen ab.
Dadurch entsteht eine Verlustleistung, die zu einer Erwärmung der Halbleiter führt. Andererseits darf
die Sperrschichttemperatur aber einen gewissen Wert (vielfach 150 °C) nicht überschreiten, damit der
Halbleiter nicht zerstört wird. Deshalb wird vielfach eine Kühlung notwendig.
Bild 11.15: Spannungsregler im TO-3 Gehäuse auf Kühlkörper
Als Beispiel ist im Bild 11.15 eine Spannungsregler LM317 dargestellt, der auf einem Kühlkörper
montiert ist. Zur elektrischen Isolation zwischen dem Gehäuse und dem Kühlkörper findet man hier
eine Glimmerscheibe.
Man kann diese Anordnung modellieren, Bild 11.16 zeigt ein solches Modell.
Bild 11.16: Thermisches Modell des Systems Halbleiter-Kühlkörper
Zuerst die elektrische Analogie: Eine Stromquelle speist ein System aus Widerständen und
Kondensatoren. Es entstehen Spannungsabfälle an den einzelnen Widerständen. Wenn sich der
Strom der Quelle ändert, so werden die Kondensatoren auf- oder entladen. Plötzliche
Stromänderungen werden von den Kondensatoren aufgefangen und die Spannungen an den
Widerständen ändern sich nur langsam.
Nun die thermische Erklärung: In der Sperrschicht bzw. Halbleiterkristall wird ein Wärmestrom (Einheit:
W, Watt) produziert. Die Kapazitäten sind Wärmekapazitäten, Einheit sec*W/K (Sekunde*Watt/Kelvin).
Ändert sich der Wärmestrom schlagartig, so nehmen diese Kapazitäten diesen Wärmestrom auf. Dazu
ein Bild aus dem Alltag: Selbst wenn man einen Topf auf eine rotglühende Herdplatte setzt, so beginnt
das Wasser in diesem Topf nicht sofort zu kochen, sondern das System Platte/Topf mit Wasser
erwärmt sich nur langsam. Abgeleitet wird dieser Wärmestrom über die Wärmewiderstände (Einheit
K/W, Kelvin/Watt). So entsteht ein Temperaturgefälle zwischen dem Halbleiterkristall und der
Umgebung.
328
Die Elemente im Einzelnen:
Pth:
Cthj:
Rthc:
Cthc:
Rthiso:
Rthk:
Cthk:
Wärmestrom, der im Halbleiterkristall erzeugt wird.
Wärmekapazität des Halbleiterkristalls, „j“ = „Junction“.
Wärmewiderstand zwischen Kristall und Gehäuse, „c“ = „Case“.
Wärmekapazität des Gehäuses, im dem der Kristall eingebaut ist.
Wärmewiderstand eines Isolators zwischen Gehäuse und Kühlkörper.
Dies kann eine Glimmerscheibe oder Wärmeleitfolie sein.
Wärmewiderstand des Kühlkörpers. „k“ = „Kühlkörper“.
Wärmekapazität des Kühlkörpers.
Die Temperaturen:
Tj:
T c:
T k:
Ta:
Temperatur des Halbleiterkristalls. Hier darf eine bestimmte Temperatur
nicht überschritten werden. Dies ist Aufgabe der Kühlmaßnahme.
Gehäusetemperatur.
Temperatur des Kühlkörpers.
Umgebungstemperatur, „a“ = „Ambient“.
Ein Unterschied zum elektrischen Modell besteht jedoch: In der Elektrotechnik bezeichnet man das
Massepotential mit 0 Volt, beim Wärmemodell ist das „Massepotential“ die Umgebungstemperatur und
nicht 0K oder 0°C.
Nimmt man zuerst einmal einen konstanten Wärmestrom an, bei dem die Kapazitäten keine Rolle
spielen, so gilt für die Sperrschichttemperatur:
Tj = Ta + Pth * (R thc + R thiso + R thka )
Die Sperrschichttemperatur Tj ist also gleich der Umgebungstemperatur Ta plus einem Anteil, der sich
aus dem Produkt aus der Verlustleistung des Transistors und der Summe der Wärmewiderstände
zusammensetzt. Wichtig in diesem Zusammenhang ist die Tatsache, dass man unter dem Begriff
„Umgebungstemperatur“ die Lufttemperatur im Innern des Gerätes versteht und nicht etwa
Zimmertemperatur.
Die Verlustleistung, der thermische Widerstand des Transistorgehäuses und der Widerstand der
Glimmerscheibe/Wärmeleitfolie sind bekannt. Gefragt ist nach dem maximalen thermischen Widerstand des Kühlkörpers, damit die Temperatur der Sperrschicht die maximal erlaubte Temperatur nicht
überschreitet. Durch Auflösen der obigen Gleichung erhält man dann:
R thka =
Tj − Ta
Pth
− R thiso − R thc
Beim periodischen Schalten von Transistoren verringert sich der thermische Widerstand des
Gesamtsystems. Der Grundgedanke ist der, dass in einem Transistor nicht ständig Verlustleistung
entsteht, sondern bei periodischem Schalten nur in einer Phase. In der anderen Phase ist die
Verlustleistung gleich Null. Dies bedeutet aber, dass die Verlustwärme geringer wird und damit die
Erwärmung des Halbleiters. Nun kommen die Kapazitäten ins Spiel. Definiert man - analog zur
Elektrotechnik - eine Zeitkonstante τ eines RC-Gliedes mit
τ = R th * Cth
so gilt für den resultierenden Wärmewiderstand, hier „Transienter Wärmewiderstand“ genannt der
folgende Ausdruck:
329
t

− 1 
τi 

Z th (t1 ) = ∑ R thi * 1 − e


i =0


i =n
Wobei t1 die Zeit ist, während der Leistung im Halbleiter erzeugt wird. Messung und Berechnung sind
schwierig, so dass man sich besser auf Angaben von Halbleiterherstellern verlässt.
Bild 11.17: Transienter Wärmewiderstand
Im Bild 11.17 ist der transiente Wärmewiderstand eines Halbleiters als Funktion der Zeit t1 dargestellt.
Parameter ist das Tastverhältnis t1/t2, wobei t2 die Periodendauer der Rechteckfolge ist. Man erkennt,
dass bei hohen Frequenzen (t1 und t2 klein) der transiente Wärmewiderstand proportional zum
Tastverhältnis ist. Je länger die Zeit t1 ist, umso mehr nähert sich dieser Wärmewiderstand jedoch dem
Endwert an. Das liegt daran, dass sich der Halbleiter sich bereits während der Zeit t1 stark aufheizt.
Deutlich wird dies an der untersten Kurve, dem einzelnen Impuls: Dauert dieser Impuls (etwa) 1
Sekunde, so reicht diese Zeit bereits aus, um das System so stark aufzuheizen wie im Dauerbetrieb.
Diese Diagramme sind immer vom Aufbau und vom Kühlkörper abhängig. Dies ist in den
Datenblättern dann auch angegeben.
Kurzzeitig entstehen hohe Verluste im Augenblick des Umschaltens: Hier sind sowohl Drain-SourceSpannung als auch Drainstrom gleichzeitig groß und damit auch die Verlustleistung. Dies ist allerdings
nur während der relativ kurzen Zeit der Zustandsübergänge der Fall. Da die Anzahl dieser Übergänge
2fSchalt pro Sekunde beträgt, ist ihr Beitrag zur Verlustleistung proportional zur Taktfrequenz: Je
höher die Taktfrequenz, um so größer die Verlustleistung.
Bild 11.18 zeigt die Simulation eines Leistungs-MOSFETs vom Typ IRF150.
Das Gate des Transistors wurde mit einer Rechteckimpulsfolge der Frequenz 100kHz angesteuert, die
Anstiegs- und Abfallzeit der Steuerspannung betrug jeweils 2µsec. Die Gatespannung stieg dabei von
0 Volt auf 50 Volt an. Die Last am Drain war 10 Ohm, was zu einem Drainstrom von etwa 5 Ampere
führte, da die Betriebsspannung 50 Volt betrug.
Der Aus-Zustand ist im Bild 11.18 gar nicht dargestellt, da die Verlustleistung sehr gering ist. Im EinZustand wurde ein Verlustleistung im Milliwattbereich ermittelt. Das Problem liegt im Bereich der
Zustandsübergänge. Hier treten kurzzeitig Verlustleistungen bis zu 62.5 Watt auf. Je mehr
Zustandsübergänge stattfinden –je höher also die Taktfrequenz ist- um so mehr durchschnittliche
Verlustleistung ist zu verzeichnen. Damit sinkt der Wirkungsgrad erheblich ab.
330
Bild 11.18: Drainspannung und Verlustleistung beim IRF150
11.5 Safe Operating Area
Bei Leistungstransistoren hingegen besteht die Gefahr der Überlastung. Deshalb sollen kurz die
Lastgrenzen bei Leistungstransistoren besprochen werden. Dabei wird angenommen, daß die
Eingangsleistung (Basis-Emitter-Spannung * Basisstrom) vernachlässigt werden kann. Bild 11.19 zeigt
die Grenzen im Ausgangskennlinienfeld an. Den Bereich innerhalb dieser Grenzen bezeichnet man als
SOA, „Safe Operating Area“.
Bild 11.19: Belastungsgrenzen, Safe Operating Area
331
Die Belastungsgrenzen sind – von links nach rechts –
1.
IC<ICmax, ID<IDmax: Der maximale Kollektor/Drainstrom darf den im Datenblatt vorgegebenen
Wert nicht überschreiten
2.
P<Pmax: Das Produkt aus Kollektor-Emitter-Spannung und Kollektorstrom (Drain-SourceSpannung und Drainstrom) entspricht der aufgenommenen Leistung. Dieser Wert darf die
maximale Verlustleistung, die der Hersteller angibt, nicht überschreiten. Die maximale
Verlustleistung ist eine Funktion der Sperrschichttemperatur und hängt damit von der
Umgebungstemperatur einerseits und Kühlungsmaßnahmen andererseits ab.
3.
Zweiter Durchbruch (nur bei Bipolartransistoren): Im Bereich hoher Kollektor-EmitterSpannungen kann es bei zu großen Strömen dazu kommen, daß die Stromverteilung im
Transistor ungleichmäßig wird. Es bilden sich „heiße Röhren“, die den Löwenanteil des
Stromes übernehmen. Je wärmer ein solches Gebiet wird, um so besser leitet es den Strom.
Dadurch kann lokal die maximal erlaubte Stromdichte überschritten werden und der Transistor
fällt aus. Diesen Effekt findet man bei Bipolartransistoren, da diese einen negativen
Temperaturkoeffizienten des Widerstandes haben. Den Bereich des zweiten Durchbruches
gibt es bei FETs nicht, da diese einen positiven Temperaturkoeffizienten des Widerstandes
haben. Der negative Temperaturkoeffizient ist übrigens auch der Grund dafür, daß man
Bipolartransistoren nicht einfach parallel schalten darf: Der wärmste Transistor übernimmt fast
den gesamten Strom und fällt aus. Bei der Parallelschaltung von Leitungstransistoren muß
jeder Transistor einen Emitterwiderstand aufweisen, der diesen Effekt verhindert.
4.
Erster Durchbruch, UCE>UCEmax, UDS>UDSmax: Es kommt zur Stoßionisation, eine Sperrschicht
wird zerstört. Im rechten Teil des Bildes 11.18 finden wir einen Transistor, der ein solches
Schicksal erlitten hat. Man erkennt deutlich zwei Durchbrüche an der Basis-Emitter-Diode.
332
12. Literatur
1.
Bystron, Borgmeyer: "Grundlagen der technischen Elektronik", Carl Hanser-Verlag, München
Wien.
2.
Duyan, Hahnloser, Traeger: "Design Center - PSpice für Windows", Teubner-Verlag, Stuttgart.
3.
Erhardt, Schulte: "Simulieren mit PSpice", Vieweg-Verlag Braunschweig, Wiesbaden.
4.
Gad: "Feldeffektelektronik", Teubner Stuttgart.
5.
Gad, Fricke: "Grundlagen der Verstärker", Teubner Stuttgart
6.
Horowitz, Hill: "The Art Of Electronics", Cambridge University Press, Cambridge, New York.
7.
Koch: "Transistorempfänger", Franzis-Verlag München.
8.
Koch, "Transistorsender", Franzis-Verlag München.
9.
Kurz, Mathis: "Oszillatoren", Hüthig-Verlag, Heidelberg.
10.
Millmann, Grabel: "Microelectronics", McGraw-Hill, New York, Hamburg.
11.
Paul: "Einführung in die Mikroelektronik", Hüthig, Heidelberg.
12.
Pederson, Mayaeam: "Analog Integrated Circuits For Communication", KLUWER Academic
Publishers, Boston, Dordrecht, London.
13.
Siliconics Inc.: "Designing With Field-Effect-Transistors", McGraw-Hill, New York, Hamburg.
14.
Tholl: "Bauelemente der Halbleiterelektronik", Teil 1 und 2, Teubner, Stuttgart.
15.
Tietze, Schenk: "Halbleiterschaltungstechnik", Springer, Berlin.
16.
J & L Trontelj, G. Shenton: "Analog Digital Asic Design", McGraw-Hill, New York, Hamburg.
333
Anhang 1: Die Normwerte der Reihen E12 und E24
Die Werte von Widerständen und Kondensatoren sind in Normreihen abgestuft. Die Reihen haben den
Kennbuchstaben E und sind geometrische Reihen. Dies bedeutet, daß sich die aufeinanderfolgenden
Werte immer um einen Faktor x unterscheiden. Man findet die Gleichung
R = xN
N läuft in ganzen Zahlen ab 0. Die Zahl x ist gleich der E-ten Wurzel aus 10 (E=12 oder 24)
Reihe E12: Stufung:
12
10 =1.212, Toleranz=10% (fett und blau gedruckt),
Reihe E24: Stufung:
24
10 =1.1, Toleranz=5%
Ein Beispiel: Faktor 1.2 (E12). Es gibt Widerstände mit 1.2Ω, 12Ω, 120Ω, 1.2kΩ, 12kΩ usw.
1.0
1.8
3.3
5.6
1.1
2.0
3.6
6.2
1.2
2.2
3.9
6.8
1.3
2.4
4.3
7.5
1.5
2.7
4.7
8.2
1.6
3.0
5.1
9.1
Normwerte der Reihen E 12 und E24, die Werte der Reihe E12 sind fett gedruckt
Anhang 2: Impedanz einer Kapazität als Funktion der Frequenz
1pF
10pF
100pF
1nF
10nF
100nF
1µF
10µF
100µF
1000µF
1 Hz
159GΩ
15.9GΩ
1.59GΩ
159MΩ
15.9MΩ
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
10Hz
15.9GΩ
1.59GΩ
159MΩ
15.9MΩ
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
100Hz
1.59GΩ
159MΩ
15.9MΩ
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
1.59Ω
1kHz
159MΩ
15.9MΩ
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
1.59Ω
0.159Ω
10kHz
15.9MΩ
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
1.59Ω
0.159Ω
15.9mΩ
100kHz
1.59MΩ
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
1.59Ω
0.159Ω
15.9mΩ
-
1MHz
159kΩ
15.9kΩ
1.59kΩ
159Ω
15.9Ω
1.59Ω
0.159Ω
15.9mΩ
-
10MHz 100MHz
15.9kΩ 1.59kΩ
1.59kΩ
159Ω
159Ω
15.9Ω
15.9Ω
1.59Ω
1.59Ω 0.159Ω
0.159Ω 15.9mΩ
15.9mΩ
-
Anhang 3: Impedanz einer Induktivität als Funktion der Frequenz
10nH
100nH
1µH
10µH
100µH
1mH
10mH
100mH
1H
10H
1 Hz
6.28mΩ
62.8mΩ
628mΩ
6.28Ω
62.8Ω
10Hz
6.28mΩ
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
100Hz
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
6.28kΩ
1kHz
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
6.28kΩ
62.8kΩ
10kHz
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
6.28kΩ
62.8kΩ
628kΩ
334
100kHz
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
6.28kΩ
62.8kΩ
628kΩ
6.28MΩ
1MHz
62.8mΩ
628mΩ
6.28Ω
62.8Ω
628Ω
6.28kΩ
62.8kΩ
628kΩ
6.28MΩ
-
10MHz 100MHz
628mΩ
6.28Ω
6.28Ω
62.8Ω
62.8Ω
628Ω
628Ω 6.28kΩ
6.28kΩ 62.8kΩ
62.8kΩ
628kΩ
628kΩ 8.28MΩ
6.28MΩ
-
Anhang 4: Farbcode für Widerstände
335