Exhibitor Index

Transcription

Exhibitor Index
Semicon Europa
08. – 10. October 2013
hall 2 | booth 2.050
1
Accretech (Europe) GmbH acp-IT AG 08
ADENSO GmbH 11
ADZ NAGANO GmbH Allresist GmbH 12
aps Solutions GmbH ATT Systems GmbH 14
10
13
15
camLine GmbH 16
Camstar Systems, Inc Cleanpart GmbH 17
Compugraphics Jena GmbH 19
Cool Silicon e. V. / Silicon Saxony Management GmbH CS CLEAN SYSTEMS AG 20
18
21
DERU Planungsgesellschaft für Energie-,
Reinraum- und Umwelttechnik mbH 22
DMS Dynamic Micro Systems GmbH 23
Dresden, Department of Economic Affairs Dresden Fraunhofer Cluster Nanoanalysis 24
EBARA Precision Machinery Europe GmbH Entegris GmbH 26
29
25
Fraunhofer-Institut für Produktionstechnik und
Automatisierung IPA 28
Fraunhofer izm-ASSID 30
Hager + Elsässer GmbH HAP GmbH Dresden 31
HORIBA Europe GmbH HSEB Dresden GmbH 33
Infineon Technologies Dresden GmbH InnoLas Semiconductor GmbH 34
mcrt GmbH 38
MSG Lithoglas GmbH NANIUM S.A. 39
Roth & Rau – Ortner GmbH 41
Rudolph Technologies Europe BV 42
Saxony Economic Development Corporation Sempa Systems GmbH 43
spea GmbH 46
32
36
37
40
44
SYSTEMA System­entwicklung Dipl.-Inf. M. Austen GmbH 45
znt Zentren für Neue Technologien GmbH 48
2
3
Silicon Saxony e. V. is Europe’s most successful
trade association for the micro- and nanoelectronic, smart system, application and energy
system industries. We are connecting 300
manufacturers, suppliers, research institutes,
universities and public institutions in a network.
As a continuously growing and vital hightech network, we understand ourselves as a
communication and cooperation platform for
our members. This promotes and stabilizes
the economic development of our member
companies. Intelligent partnerships among
them enable knowledge transfer, synergies,
business relationships and promote innovative
power.
At our joint booth, you can meet us and 36
companies from Silicon Saxony, presenting
their state-of-the-art expertise in the field of
microelectronics – a business sector Saxony
has a European leadership role in!
“Silicon Saxony” is Europe’s leading and the
world’s fifth largest cluster for microelectronics/
ICT. Saxony’s firms are active along all steps of
the ICT value creation chain. A unique concentration of approx. 2,100 companies with more
than 51,000 employees providing extensive
knowledge and expertise in the sectors micro­
electronics and nanoelectronics, nanotechno­
logy, organic & printed electronics, energyefficient systems, telecommunications techno­
logy, and integrated sensor technology is found
in the region between Dresden, Leipzig and
Chemnitz. www.invest-in-saxony.com
Smart Systems
www.silicon-saxony.de
Nanoelectronics
SILICON SAXONY –
The High-Tech
Network
4
Software
Applications
450 mm Technology
5
2
3
10
4
5
1
6
7
8a
12
11
13
8b
9
35
14
34
Bar
33
15
Exhibitor
Lounge
36
16
17
32
31
22
27
30
1
29
EBARA Precision
26
25
9
Infineon Technologies
Dresden GmbH
2
Allresist GmbH
10
Roth & Rau – Ortner GmbH
3
MCRT GmbH
11
HAP GmbH Dresden
4
ADZ Nagano GmbH
12
SYSTEMA
5
HORIBA Europe GmbH
DERU Planungsgesellschaft
System­entwicklung
Dipl.-Inf. M. Austen GmbH
13
SEMPA SYSTEMS GmbH
Umwelttechnik mbH
14
MSG Lithoglas GmbH
7
Compugraphics Jena GmbH
15
Hager+Elsässer GmbH
8a znt Zentren für
16
HSEB Dresden GmbH
17
InnoLas Semiconductor
für Energie-, Reinraum- und
Neue Technologien GmbH
8b GmbH
Camstar Systems, Inc
18
6
23
21
28
Machinery Europe GmbH
6
24
acp-IT AG
20
19
18
19
Entegris GmbH
29
ATT Systems GmbH
20
Cool Silicon e. V. / Silicon
30
aps Solutions GmbH
31
Accretech (Europe) GmbH
32
Fraunhofer IZM-ASSID
33
Dresden Fraunhofer
Saxony Management GmbH
21
Dresden, Department of
Economic Affairs
22
SPEA GmbH
23
camLine GmbH
24
Cleanpart GmbH
25
ADENSO GmbH
26
CS CLEAN SYSTEMS AG
27
Rudolph Technologies
Europe BV
28
Cluster Nanoanalysis
34
Fraunhofer-Institut für
Produktionstechnik und
Automatisierung iPA
35
NANIUM S.A.
36
Saxony Economic
Development Corporation
DMS Dynamic Micro
Systems GmbH
7
www.accretech.jp/english
ACCRETECH’s
Leading Products Overview
Probing: High Accuracy and Speed, Ultra Thin Wafer,
Frame Handling, High Voltage, Cold Probing etc.
Dicing: World’s Smallest Footprint Dicing Systems,
Fully Dry and Dust-Free Laser Dicer, Packaging Dicing
Dicing Blades: Diamond cutting blades for high
quality and cost cutting
Thinning: Grinding & Polishing down to 15…m,
Integrated Mounting and B/G Peeling, Capable for
DAF and TSV Applications
ACCRETECH’s
Munich Demo Center
Dicing Service
Sample Dicing for R&D
Dicing Service for Small Productions
Dicing Process Optimization
Dicing Blade Evaluation
MAHOH Laser Dicing of MEMS Devices
Grinding: High Rigid Grinder for Sapphire,
SiC materials, Wafer Edge Grinding
Dicing Service: Blade and Laser Dicing in Munich
Demo Center
Contact:
Accretech (Europe) GmbH
Landsberger Str. 396
D-81241 Munich
+49 (0)89 546 788 0
[email protected]
8
9
www.acp-it.com
InFrame Synapse
Advanced Factory Control
Low Risk Migration
Factory simulation & emulation ensuring
least-impact migration scenario
Equipment Integration
Plug & Play SECS/GEM Adapter starting
from 2.999 €
APS – Advanced Planning & Scheduling
Finding the sweet spot between resource
utilization, throughput, cycle time and on-time
order fulfi llment
Manufacturing Optimization
Process Analysis & Control with powerful
SPC, FDC, APC (R2R) and MSA modules
www.adenso.de
NEW product:
Wafer Handling Robot
high vacuum type
high load
high precision
high vacuum
low price
Let´s start now to lower your production costs
and maximize the return of your assets!
WHR-VAC (Top view): High vacuum model with end-effector for 200 mm
wafer carrier
Bildmotiv83x65cm_Layout 1 12.08.13 15:57 Seite 1
WHR-VAC
Wafer Handling
Robot
Specification
Working
range
Repeatability
Max. speed
standard
options
X
500 mm
200...1000mm
Z
100 mm
50...250mm
R
360°
–
X
± 0,08 mm
–
Z
± 0,05 mm
R
± 0,05°
–
X
0,2 m/sec.
...0,5 m/sec.
Z
0,1 m/sec.
...0,2 m/sec.
R
90°/sec.
...360°/sec.
Clean room class
EN ISO 14644
Vacuum /
Leakage rate
Payload
Power supply
Interfaces
Contact:
advanced control products
Information Technology AG (acp-IT AG)
Moritzburger Weg 67
D-01109 Dresden
+49 (0)351 207 818 0
[email protected]
10
–
ISO 6
ISO 5 ... 3
5x10E-6
mbar x l / s
5x10E-7
mbar x l / s
2,5 kg
> 10,0 kg
95 ... 255 V AC
RS - 232
Profibus DP,
Ethernet, ...
Contact:
ADENSO GmbH
Zur Wetterwarte 27
D-01109 Dresden
+49 (0)351 795 9797 0
[email protected]
11
www.adz.de
InFrame Synapse
Advanced Factory Control
Special application in the fields of industry,
Hydraulic, Pneumatic, Railway, Aerospace, Racing
Flexible production capacity, more than
4.000 different products
Wide pressure range 1 mbar up to 5000 bar
Certifications – DIN EN 9100, ISO 16949,
German Lloyd, ATEX
Europa-Distributor of NAGANO KEIKI Co., Ltd.,
Japan
www.allresist.de
Innovation, Creativity,
Customer Specifi c Resists
E- Beamresists
The new CSAR 62 positive e- beam resist
AR- P 6200, high sensitivity, plasma etch stable,
highest resolution (7 nm), similar ZEP 520
Photoresists
Negative resists AR- N 4340 and AR- N 4400
(CAR 44) for galvanic- and lift- off- processes
Experimental Samples
Thermo stable resists (> 300°C) and two-layer­
systems, conductive layer
Process chemicals
Developer, thinner, remover (also for ZEP- resists)
Contact:
ADZ NAGANO GmbH Bergener Ring 43
D-01458 Ottendorf-Okrilla
+49 (0)35205 5969 30
[email protected]
12
Contact:
Allresist GmbH
Am Biotop 14
D-15344 Strausberg
+49 (0)3341 3593 0
[email protected]
13
www.aps-munich.com
Technology for
Better Contacts
www.att-systems.com
Meeting today‘s and
tomorrow‘s requirements
Test Equipments:
-LED Probing and Sorting Equipment by
MPI Corp., TW
-Analytical Probe Stations by SIGNATONE, USA
-Test Handlers for Packaged Devices by
Microtec Fertigungs GmbH
- Wafer and Jig Saws by ZenVoce, TW
Test Sockets:
-A Wide Range of High Quality and High
Performance Contactor Solutions for all Device
Test Applications
-Winway Technology, the no. 1 of Test Socket
Designer & Manufacturer in Taiwan
Best performance for wafer testing at most
extreme temperatures and conditions
-75°C – 600°C
Air cooled and liquid cooled wafer chucks
for 150 / 200 / 300 / 450 mm wafer diameters
Accuracy better than ±0.5°C
Temperature uniformity better than ±0.5K
Planarity better than ±5μm
Probe Cards:
A Selection of the Best Probe Card Technologies
for all Wafer Test Applications from MPI Corp, TW
and SEDICONTECH, Korea.
ZEN VOCE
Contact:
aps Solutions GmbH
Gutenbergstraße 1
D-82178 Puchheim / Munich
+49 (0)89 841 027 0
[email protected]
14
Contact:
ATT Systems GmbH
Fraunhoferstraße 11
D-82152 Planegg-Martinsried
+49 (0)89 899 482 0
[email protected]
15
www.camLine.com
camLine
www.camstar.com
agile • efficient • reliable
Software Solutions for
Manufacturing
One MES for Global
Semiconductor Manufacturing
Global partner for more than 20 years
Semi, solar, mechatronics & medical industries
LineWorks product suite
Cornerstone
Integration & startup projects
Global services
STATE-OF-THE-ART MES
Chosen, Proven, and Accepted at Demanding
Customers Worldwide
Solutions & Applications
Manufacturing quality
Process security
Monitoring & reporting
Performance & OEE efficiency
Executive logistics
Design of Experiments (DoE)
Explorative Data Analysis (EDA)
LOW RISK MIGRATION
Implement without Disrupting Production
SCALABLE
Built to Scale for High Volume, R&D, Globally
Distributed Plants and Diverse Products
RAPID ROI
Fast implementation and Knowledge Transfer
Cornerstone combines
LineWorks RM offers
its power as an analysis
Add-ons extend the
enterprise-wide process
software with a complete
functionality of LineWorks
security by ensuring that a
environment for explora-
SPACE, plug-ins will
product is manufactured
tory data analysis, model
provide additional analyses
with the right Recipe
building, and experimental
capabilities.
Object.
design.
Contact:
camLine GmbH
Industriering 4a
D-85238 Petershausen
+49 (0)8137 935 0
[email protected]
16
Contact:
Camstar Systems, Inc
13024 Ballantyne
Corporate Place, Suite 300
Charlotte, NC 28277
+1 (704) 227 6600
[email protected]
17
www.cleanpart.de
Market leader in precision
cleaning & coating
Thermal Spray Coatings
Plasma Spray Coating, HVOF, Twin Wire
Arc Spray, Flame Spray
Thin Film Coatings
PECVD (DLC), PVD
Analytical Services
Material & surface analysis
Precision Cleaning
Abrasive Blasting
Dry & Wet, CO2, Polar
Spare parts manufacturing & supply
www.compugraphics-photomasks.com
Photomasks for all your
lithography needs
Compugraphics is a leading manufacturer of
photomasks for the electronics industry with
more than 40 years experience in high precision
lithographic structuring
Our products:
- 1X Reticles & Reduction Reticles
- Master photomasks – 3” to 16”
- Copies – 4” to 7”
- Wafer direct write
- OPC and Phaseshift masks
Our service:
- Mask cleaning and repell service
- Design support
- MPT coating of photomasks
- Mask cutting
ISO 9001 and ISO 14001 certified
Contact:
Cleanpart GmbH
Siemensstraße 1
D-71679 Asperg
+49 (0)7141 681 160
[email protected]
18
Contact:
Compugraphics Jena GmbH
Im Steinfeld 5
D-07751 Jena
+49 (0)3641 633 88 0
[email protected]
19
www.cool-silicon.org
www.cscleansystems.com
®
Fit For A Cool Future
Exhaust Gas Abatement –
Safe and eco-friendly solutions
where you need them
Future Experts – NanoScout
Cool education tool for the upcoming technologists
laying the ground for future ICT expertise.
Safe, dry chemical conversion of toxic gases
to stable solids
Future Partners – Silicon Europe
Expanding the Cool idea to Europe with a strong
alliance for European microelectronics.
www.silicon-europe.eu
No handling of toxic waste
Future Projects – fast and EEAS
Future R&D-projects initiated in Saxony.
www.fast-zwanzig20.de | www.eeas-zwanzig20.de
CS CLEAN
SYSTEMS®
Proprietary CLEANSORB® media
Practically maintenance-free
Local refill service worldwide
Over 25 years of experience
More than 5000 installations worldwide
®
CS CLEAN
SYSTEMS®
www.spitzencluster.de | www.cool-silicon.org
Contact:
Cool Silicon e. V. / Silicon Saxony
Management GmbH
Manfred-von-Ardenne-Ring 20
D-01099 Dresden
+49 (0)351 8925 800
[email protected]
20
Contact:
CS CLEAN SYSTEMS AG
Fraunhoferstraße 4
D-85737 Ismaning
+49 (0)89 9624 000
[email protected]
21
www.deru-reinraum.de
www.dms-semi.de
Dynamic Micro Systems
Semiconductor Equipment GmbH
Consulting, Engineering,
Construction Supervision
DERU designs clean rooms, special laboratories
as well as production sites with very high level
requirements.
For more than 20 years high technology
companies and research facilities trust in the
competency of presently about 60 members
of staff.
DMS – an innovativ and
reliable Partner
FOUP Cleaner
Reticle Stocker
Bare Wafer Stocker
Wafer Sorter and EFEM
EUV Equipment
The business activities comprise the conceptual
design of building services as well as planning
of utility supply, consulting service and complete
project management.
M 1990 Reticle
Stocker
Contact:
DERU Planungsgesellschaft für Energie-,
Reinraum- und Umwelttechnik mbH
Hermann-Reichelt-Straße 3a
D-01109 Dresden
+49 (0)351 884 46 0
[email protected]
22
M 1000 EUV Pod
Cleaner
M 800 FOUP
Cleaner
Contact:
DMS Dynamic Micro
Systems GmbH
Im Wiesengrund 17
D-78315 Radolfzell
+49 (0)7732 9409 244
[email protected]
23
www.dresden.de/business
Microelectronics
Microélectronique
Mikroelektronik
‫اﻻﻟﻜﺘﺮوﻧﻴﺎت اﻟﺪﻗﻴﻘﺔ‬
www.entegris.com
Creating a material advantage
= Dresden
Competencies: Research & Development,
IC Design, Photomasks, Chip Manufacturing,
Packaging, Equipment, Software
The Economic Development Offi ce of the City
of Dresden is your contact and partner as
enterpreneur or investor. Our service ensures
that your investment in Dresden can be realised
without delay.
Industries served
semiconductor, flat panel display, energy,
aerospace, life sciences
Your goals
productivity, performance and competitive edge
Our expertise
material science
Our solutions
purification, process control and material protection
Welcome to Dresden!
Contact:
Dresden, Department of Economic Affairs
Ammonstraße 74
D-01067 Dresden
+49 (0)351 4888 700
[email protected]
24
Contact:
Entegris GmbH
Hugo-Junkers-Ring 5
Gebäude 107/W
D-01109 Dresden
+49 (0)351 795 97 0
[email protected]
25
www.ebara-pm.eu
Next Generation Vacuum Solutions
for the Semiconductor Industry
Next Generation Vacuum Solutions
for the Semiconductor Industry
EBARA is a leading supplier for the Semiconductor
Industry with a broad portfolio. Key products and
services are
EBARA Precision Machinery is a global supplier of
Energy-saving Dry Vacuum Pumps
High-efficient Waste Gas Treatment Systems
Fast responsiveness, complete service
coverage and full commitment to quality
and customer satisfaction
leading edge wafer processing tools from 150 to
300 mm fulfilling existing and future Technologies.
Its portfolio includes
Chemical Mechanical Polishing
Model F-REX200, Model F-REX300S2
Electroplating
Model F-REX200, Model F-REX300S2
Bevel Polishing
Model EAC200bi, Model EAC300bi
EV-S Series pumps are energy efficient
vacuum pumps for clean to light duty
processes such as Load Lock, SEM,
PVD, Ashing, Ion Implant and Etch.
The EV-M series is a new energy saving
dry vacuum pump generation particularly
suitable for harsh processes.
Refurbishment Center UK
Cleaning and Refurbishment, Upgrading,
Functional Testing, Training
The F-REX series is offering
both for 200 and 300 mm
high flexibility and productivity combined with superior
processing capabilities.
The EBARA G5 is a highly efficient gas
abatement system for a large number of
waste gases including CFCs.
Contact:
EBARA Precision Machinery Europe GmbH
Rodenbacher Chaussee 6
D-63457 Hanau
+49 (0)6181 18760
[email protected]
26
27
www.ipa.fraunhofer.de
Intelligence and Quality −
R&D by Fraunhofer
Equipment and Processes
Clean, highly precise handling. Intelligent tools.
Data and IT
System intelligence. Cloud-based IT.
Manufacturing Planning and Logistics
Material flow simulation. Value stream.
Quality Assurance
Fraunhofer TESTED DEVICE®. Analytics.
Local cleaning. Personnel training.
Products
New materials. Intelligence within products.
www.nanoanalysis.fraunhofer.de
Dresden Fraunhofer Cluster
Nanoanalysis
Advancement of analysis methods
Consultation and accomplishment of services in
the field of nanoanalysis
Development of components and systems for
new analysis techniques
Development of application strategies for
advanced analysis methods
Application areas: micro-, nano-, and opto­
electronics; renewable energy sources; lightweight
construction and functional materials
Modulus mapping of On-chip interconnects
Contact:
Fraunhofer-Institut für Produktionstechnik und
Automatisierung IPA
Nobelstrasse 12
D-70569 Stuttgart
+49 (0)711 970 1633
[email protected]
28
Contact:
Dresden Fraunhofer Cluster Nanoanalysis
c/o Fraunhofer IZFP Dresden
Maria-Reiche-Str. 2
D-01109 Dresden
+49 (0)351 888 15 609
[email protected]
29
www.izm.fraunhofer.de/assid
3D Wafer Level System Integration at
All Silicon System Integration Dresden –
ASSID
Advanced 3D Wafer Level System in Package –
300 mm TSV Process Line
Interposer with Cu-TSV multi-layer RDL front and
back side
Qualified high-yield Cu-TSV via-middle/via-last
process integration
High-density and fine pitch bumping
Thin wafer handling and processing
Die-to-wafer assembly for 3D stack formation
and assembly
Customer-specific prototyping, low-volume
manufacturing
www.hager-elsaesser.de
Wordwide technology leadership
made in Stuttgart.
Nothing left to chance.
Extensive experience in state-of-the-art
UPW Generation.
Technologies for Pretreatment, Make-Up and Polishing.
Extensive experience in waste water
recycling and reuse.
Technologies for CMP, wet-etch, rinsing and
backgrind water.
From Stuttgart to Siberia to Singapore.
We meet all standards and regulations in all
nations of the world.
Expert advice and professional assistance.
You buy water quality and availability and not a system.
Semiconductor fabs rely upon the supply
of large volumes of extremly high quality
UPW. At HAGER + ELSÄSSER all needed
treatment technology are are based on
a long and successfull history: from pretreatment to make-up to polishing.
Waste water treatment plants are complex
3D Heterogeneous System Integration
systems. No matter if standardized or
individual, HAGER + ELSÄSSER will
always deliver the most economical
solution.
With HAGER + ELSÄSSER you buy water
quality and availability. With response
times of 24h and less will guarantee full
availability throughout the whole lifecycle
of your water treatment plant.
Contact:
Fraunhofer IZM-ASSID
Ringstraße 12
D-01468 Moritzburg
+49 (0)351 795572 12
[email protected]
30
Contact:
Hager + Elsässer GmbH
Ruppmannstraße 33b
D-70565 Stuttgart
+49 (0)711 7866 0
[email protected]
31
www.hap.de
Carrierhandling, Reticlehandling,
Waferhandling
SMOOTH HANDLING HAPPENS
Handling an Robotics for microelectronics
and nanoelectronics
GAPLESS AUTOMATION HAPPENS
Automation and control technology for microelectronics
and nanoelectronics
CLEANROOM APPLICATION HAPPENS
Robotic Systems and Automation for cleanroom
applications
www.horiba.com
Your Partner for
Measurement and Control
Wet Process Monitoring SC-1, SC-2, SPM, HF,
Ozone
Fluid Control (Gas & Liquid) Massflow, Vaporizer,
Pressurecontrol
Dry Process Monitoring OES & Interferrometric
Etching Real time Monitoring
Particle Inspection for Photomasks, CMP-Slurry
Ambient Air Monitoring SO2, Nox, NH3, H2S, THC
NANOTECH UTILIZATION HAPPENS
Clean Robotic Systems for spezialised environment
Wet Process Monitor
Particle Interspection
Systems
HAP-HERO® Carrierhandling and EUV Reticlehandling
Massflow Controller
Vaponizer
Contact:
HAP GmbH Dresden
Gostritzer Str. 63
D-01217 Dresden
+49 (0)351 871 806 0
[email protected]
32
Contact:
HORIBA Europe GmbH
Hans-Mess-Str. 6
D-61440 Oberursel
+49 (0)6172 1396 0
[email protected]
33
www.infineon.com
Innovative Semiconductor Solutions
We are the semiconductor innovation leader for
energy efficiency, mobility and security. Our solutions
help modern society to grow while preserving our
environment.
Infineon Technologies Dresden
First high-volume production of power
semiconductors on 300mm wafers worldwide
Highly automated high-mix fab for 200mm wafers for
More-than-Moore technologies
Infineon Technologies Dresden
Contact:
Infineon Technologies
Dresden GmbH
Königsbrücker Straße 180
D-01099 Dresden
+49 (0)351 886 110 0
34
35
www.hseb-dresden.de
All Side Wafer Inspection and Review
Products
AOI, semi-auto inspection and review systems,
microscopes and OEM.
www.innolas-semiconductor.com
WAFERMARKING +
SORTING EQUIPMENT (2” – 450mm)
InnoLas Semiconductor GmbH is a leading manufacturer
of high quality laser marking and sorting equipment
for the semiconductor and LED industry.
Features
All-side inspection (front/back side and edge).
Low recipe set-up effort, multiple inspection steps
in one tool.
Advanced metrology for CD, VIA and THK
measurement, using VIS, NIR and UV
Materials: Silicon (Si), Germanium (Ge),
Quartz (SiO2), Sapphire (Al2O3),
Gallium Arsenide (GaAs), Gallium Nitride (GaN),
Silicon Carbide (SiC), Indium Phosphide (InP),
Lithium Tantalate (LiTaO3), Lithium Niobate (LiNbO3)
Glass, Ceramics and much more
Benefits
Competitive CapEx and best of breed throughput
guarantee high productivity.
Long-term upgradeability secures your investments.
Surfaces: sliced, grinded, etched, lapped,
polished, coated
Fonts: Semi OCR, T7 Data Matrix, BC 412,
Engrave Mode
HSEB Dresden is a leading supplier of optical inspection systems.
Contact:
HSEB Dresden GmbH
Manfred-von-Ardenne-Ring 4
D-01099 Dresden
+49 (0)351 20758 0
[email protected]
36
Contact:
InnoLas Semiconductor GmbH
Justus-von-Liebig-Ring 8
D-82152 Krailling
+49 (0)89 899 360 0
[email protected]
37
www.mcrt.de
MCRT – Flexible solutions
for your cleanroom
www.lithoglas.de
Hermetic Packaging using
Glass Thin Films
Filter-Fan-Units and Cleanroom Cabins:
standard and customized
enables plastic molded packages where
high reliability is needed
Minienvironments: adapted and integrated for ISO 1
reduction of package size and packaging costs
Clean-Air-Management-Systems: EUV compatible,
nitrogen purged, temperature and humidity controlled
wafer-level hermetic sealing of surfaces against
moisture or aggressive media
Clean-Air-Control-Unit: smart and intelligent
parameter management
wafer-level-capping for advanced optical applications
Airborne-Molecular-Filtration: efficient and
cost effective
proven and widely compatible technology,
excellent material properties
Rent a Tent: temporary and flexible
Hermetic Glass Thin Film:
high freedom of design, excellent adhesion, matched CTE to
silicon, long-term stable, lowtemperature deposition
Wafer-Level-Capping: several
thousand devices are encapsulated simultaneously, highly
precise in a cleanroom environment securing high yield
Packaged devices using
Lithoglas technology: small,
cheap and reliable packages
due to hermetic glass sealing
directly on the chip surface.
Contact:
MCRT GmbH
Ludwig-Rinn-Straße 14–16
D-35452 Heuchelheim
+49 (0)641 948 86 0
[email protected]
38
Contact:
MSG Lithoglas GmbH
Maria-Reiche-Str. 1
D-01109 Dresden
+49 (0)30 46403 618
[email protected]
39
www.nanium.com
Your Packaging &
Test Solution in Europe
www.rr-ortner.com
The missing Link:
Automation by Ortner.
Wafer Level Packaging and Wafer Test Service
- Fan-out an Fan-in up to 300 mm Wafer
Packaging, Assembly and Test Services
-Complex Multichip and System-in-Package
Solutions
Flexible Pilot Line
-Fast Quality Prototyping from Wafer Processing
to SMT
SCOUT® – Mobile Robot for Efficient
& Flexible Use in Cleanrooms:
Cost-Saving Alternative to Permanently
Installed Transport Systems
Diverse Transport and
Handling Applications
®
Visit SCOUT
4
@ Via Mobile
Turnkey Engineering Services
-Design, Development, Reliability Tests and
Failure Analysis
Contact us: [email protected]
Contact:
Nanium S.A.
Avenida 1º de Maio 801,
4485-629
Vila do Conde, Portugal
+351 252 246 000
40
NANIUM S.A. –
Branch Office Dresden
+49 (0)351 889 69 854
[email protected]
[email protected]
Contact:
Roth & Rau – Ortner GmbH
Manfred-von-Ardenne-Ring 7
D-01099 Dresden
+49 (0)351 888 61 0
[email protected]
41
www.rudolphtech.com
Leader in 3D Packaging
Inspection & Metrology
www.wfs.saxony.de, www.invest-in-saxony.com
Saxony Economic Development Corp.
(Wirtschaftsförderung Sachsen GmbH)
All Surface Inspection
Front Side; Edge; Backside
Founded by the State of Saxony, the Saxony Economic
Thin Film Metrology
Transparent Films; Metal Films
companies on their way to the world’s markets and for
Development Corporation builds bridges for Saxony’s
investors on their way to Saxony.
Advanced Packaging Lithography
Software Solutions for
Yield Management;
Equipment and Process Control
Probe Card Test and Analysis
Mask Blank Inspection
Bare Wafer Inspection
Since 1991 we have been lending a helping hand in the
search for cooperation partners, for suitable business
locations and qualified suppliers. our services include
procurement of the latest data on Saxony’s economy,
customized business site location services, contacts with
regional decision makers, information on opportunities
for subsidy programs, as well as assistance in initiating
cooperative partnerships.
Contact:
Rudolph Technologies Europe BV
Suite 7 Stuart House
Eskmills Business Park
Musselburgh EH21 7PB
Scotland, UK
+44 131 273 4321
42
Contact:
Saxony Economic
Development Corporation –
Wirtschaftsförderung Sachsen GmbH
Bertolt-Brecht-Allee 22
D-01309 Dresden
+49 (0)351 21 38 0
[email protected]
43
www.sempa.de
SEMPA SYSTEMS GmbH
SEMPA SYSTEMS GmbH develops, manufactures and
distributes globally highest purity media supply systems
and turn-key solutions for bulk gases, speciality gases and
special chemicals. Within our R&D department we focus
on innovative solutions for our customer base, such as the
development of a water vapor permeation measurement
system. (HiBarSens)
Contact:
SEMPA SYSTEMS GmbH
Zur Wetterwarte 50, Haus 301
D-01109 Dresden
+49 (0)351 88810 0
[email protected]
44
www.systemaGmbH.de
Manufacturing Excellence
through Software Solutions
Specialist in business process automation
MES consulting and migration
Equipment integration with SYSTEMA EI Suite
SECS, Semi PV02, XML, S7, OPC, etc.
End-to-end system integration
from tool control to the ERP
Full traceability and control capabilities
for all manufacturing areas
Contact:
SYSTEMA Systementwicklung
Dipl.-Inf. Manfred Austen GmbH
Manfred-von-Ardenne-Ring 6
D-01099 Dresden
+49 (0)351 8824 60
[email protected]
45
www.spea.com
SPEA – The answer for your
test challenges
SPEA’s semiconductor product range offers the
modular Turn-Key solution concept
Device-oriented tester
768 channels in a hand-carryable size tester
MEMS Test Cell – stimulation & test of MEMS
and sensors at defined temperature
Smart Card Test Cell – High Productivity LF, HF &
UHF test solution for Smart Card & RFID modules
Power Module Test Cell – test of IGBT, Power,
HV and LV MOSFET modules
Comptest MX series – Mixed Signal Testers
Contact:
SPEA GmbH
Ruhberg 2
D-35463 Fernwald-Steinbach
+49 (0)6404 697 0
[email protected]
46
47
www.znt-richter.com
All-In-One IT-Solutions for Fab
Automation & Process Control
Your Benefits – An Agile IT System that:
Increases effectiveness
Reduces costs
Learn more about
Silicon Saxony!
Visit our new website:
www.silicon-saxony.de
Ensures total transparency
Makes total production control easy
Important Solutions & Services to increase
your success:
MES, EES, RTS/RTD and APC Solutions
Equipment Integration
FAB IT Consulting & Support
znt SOLUTIONS and SERVICES lead to TOTAL PRODUCTION
CONTROL
Contact:
znt Zentren für Neue Technologien GmbH
Institut Dresden
Am Brauhaus 12
D-01099 Dresden
+49 (0)351 652 480 10
[email protected]
48
Silicon Saxony e. V.
Manfred-von-Ardenne-Ring 20
D-01099 Dresden
Phone: +49 (0)351 8925 888
Fax: +49 (0)351 8925 889
Internet: www.silicon-saxony.de
49
Silicon Saxony
booth
party
Please feel free to visit our booth
party enjoying fine food, live music
and networking.
Date: 9th October 2013 | 5 pm
Location: Hall 2 | Booth 2.050
We look forward
to welcoming you!