Increate Quality Bound with JG
Transcription
Increate Quality Bound with JG
2015/8/18 Increate Quality Bound with JG – CHI Protocol Verification Practice Yan Guofeng Verification Dept. Formal and Automation Verification Group Aug 2015 HUAWEI TECHNOLOGIES CO., LTD. www.huawei.com Agenda Formal verification environment Top One problem: explored how to solve the “explored “ bound proof summary Closing HUAWEI TECHNOLOGIES CO., LTD. Page 2 Our roadmap Milestone Grown up Start •International team •Formal export •Formal platform •Formal flow •International team •CPU/DSP/Device chipset • JG •Bug-hunting/sign-off •Simulation guys/formal juniors •CPU •IEV/JG Now HUAWEI TECHNOLOGIES CO., LTD. Page 3 CHI bridge Verification Env HUAWEI TECHNOLOGIES CO., LTD. Page 4 Agenda Formal verification environment Top One problem: explored how to solve the “explored “ bound proof summary Closing HUAWEI TECHNOLOGIES CO., LTD. Page 5 Trace and engine Z K N M All engines prove in parallel ! Ht I B L HUAWEI TECHNOLOGIES CO., LTD. Page 6 Top One Problem - explored Too complexity to prove Assumption a property not yet computed a property under computation false : CEX found (assert), or proven unreachable (cover ) true : proven (assert), or an example found (cover) time-out (“undetermined”) error in formal analysis, by improper assumptions conflicts with each other or with design HUAWEI TECHNOLOGIES CO., LTD. Page 7 Agenda Formal verification environment Top One problem: explored how to solve the “explored “ bound proof summary Closing HUAWEI TECHNOLOGIES CO., LTD. Page 8 Engine select HUAWEI TECHNOLOGIES CO., LTD. Page 9 Coding style - ND assume property ( @(posedge clk) $stable(B) ); assume property ( @(posedge clk) 0<=B && B<32 ); always @(posedge clk or negedge rst_n) begin if(!rst_n) begin A_sb <= 1’b0; end else begin A_sb <= A[B]; end assert property ( @(posedge clk) A_out[B] == A_sb ); HUAWEI TECHNOLOGIES CO., LTD. always @(posedge clk or negedge rst_n) begin if(!rst_n) begin A_sb <= 32’b0; end else begin A_sb <= A; end assert property ( @(posedge clk) A_out == A_sb ); Page 10 Data integrity check scenario 00000000000000000110011 DUT 00000000000000000110011 DUT 00000000000000000011000 Formal check Formal decides when to inject these two bits 00000000000000000011000 HUAWEI TECHNOLOGIES CO., LTD. Page 11 Error cases covered Loss: 0*0110*0 0 0 1 1 0 0 0 1 1 1 0 0 0 1 0 0 0 0 0*010*0 Duplication: 0*0110*0 0 0 0 0*01110*0 Switch: 0*0110*0 HUAWEI TECHNOLOGIES CO., LTD. 1 0 0 0 0*01010*0 Page 12 DI and Proof accelerator Proof Accelerator Scoreboard_2 JasperGold PA DI assertion proven HUAWEI TECHNOLOGIES CO., LTD. Page 13 Initial value abstract(IVA) Relationship between link layer and protocol layer cover aa init with reset value cover aa init with link run state HUAWEI TECHNOLOGIES CO., LTD. Page 14 Over-constraints Constrains DUT entry1 entry1 entry2 entry3 entry2 VIP checkers ….…… ….…… Over-constraints entry n before over-constraint after over-constraint HUAWEI TECHNOLOGIES CO., LTD. Page 15 Agenda Formal verification environment Top One problem: explored how to solve the “explored “ bound proof summary Closing HUAWEI TECHNOLOGIES CO., LTD. Page 16 bound proof HUAWEI TECHNOLOGIES CO., LTD. Page 17 Bound-proof report analysis HUAWEI TECHNOLOGIES CO., LTD. Page 18 Bound-proof tips Critical feature assertion must be proven. Prove as deeper as we can Bound proof is the compromise between effort and quality Example Pg table : 32 enter / IVA Local dev rd/wr fifo: visualize as initial value Run enough bug hunting with bounded proof case HUAWEI TECHNOLOGIES CO., LTD. Page 19 Agenda Formal verification environment Top One problem: explored how to solve the “explored “ bound proof summary Closing HUAWEI TECHNOLOGIES CO., LTD. Page 20 Summary Result Several env/RTL problems are found Simulation doesn’t find any bug after formal Next step Designer split the design in the next version to reduce complexity It is expected that JG can integrate dead-end debug capability (2015.06) It is expected that JG continue to improve the proof-core coverage metrics. HUAWEI TECHNOLOGIES CO., LTD. Page 21 Thank you www.huawei.com